Index of /alpinelinux/edge/testing/x86
Name
Last modified
Size
Description
Parent Directory
-
lua-psl-0.3-r0.apk
2024-10-25 20:11
1.1K
lua-linenoise-0.9-r1.apk
2024-10-25 20:11
1.2K
lua-libmodbus-0.6.1-r0.apk
2024-10-25 20:11
1.2K
lua-lcurses-9.0.0-r0.apk
2024-10-25 20:11
1.2K
lua-editorconfig-0.3.0-r0.apk
2024-10-25 20:11
1.2K
luacov-html-1.0.0-r1.apk
2024-10-25 20:11
1.2K
libnest2d-0.4-r7.apk
2025-02-06 04:49
1.2K
libqofono-0.124-r0.apk
2025-01-10 12:38
1.2K
linuxptp-4.4-r0.apk
2024-11-18 18:00
1.2K
simpleble-0.10.3-r0.apk
2025-07-11 02:16
1.2K
font-fantasque-sans-1.8.0-r0.apk
2024-10-25 20:11
1.2K
restinio-0.6.19-r1.apk
2024-12-14 19:46
1.2K
lumina-desktop-1.6.2-r0.apk
2024-10-25 20:11
1.2K
font-cascadia-2407.24-r1.apk
2025-05-27 14:41
1.3K
musikcube-plugin-all-3.0.4-r1.apk
2025-01-26 19:50
1.3K
convert2json-plist-2.2.2-r0.apk
2025-05-26 00:39
1.3K
convert2json-bson-2.2.2-r0.apk
2025-05-26 00:39
1.3K
convert2json-csv-2.2.2-r0.apk
2025-05-26 00:39
1.3K
convert2json-ini-2.2.2-r0.apk
2025-05-26 00:39
1.3K
convert2json-rsv-2.2.2-r0.apk
2025-05-26 00:39
1.3K
convert2json-toml-2.2.2-r0.apk
2025-05-26 00:39
1.3K
convert2json-xml-2.2.2-r0.apk
2025-05-26 00:39
1.3K
convert2json-yaml-2.2.2-r0.apk
2025-05-26 00:39
1.3K
baikal-pgsql-0.10.1-r1.apk
2025-05-27 21:37
1.3K
convert2json-cbor-2.2.2-r0.apk
2025-05-26 00:39
1.3K
baikal-mysql-0.10.1-r1.apk
2025-05-27 21:37
1.3K
convert2json-messagepack-2.2.2-r0.apk
2025-05-26 00:39
1.3K
nb-full-7.19.1-r0.apk
2025-05-24 22:11
1.3K
ruby-build-runtime-20250507-r0.apk
2025-05-15 13:21
1.3K
lxd-vm-5.0.3-r12.apk
2025-07-10 02:27
1.3K
convert2json-2.2.2-r0.apk
2025-05-26 00:39
1.3K
convert2json-json-2.2.2-r0.apk
2025-05-26 00:39
1.4K
convert2json-jaq-2.2.2-r0.apk
2025-05-26 00:39
1.4K
elfio-3.12-r0.apk
2024-10-25 20:11
1.4K
dvdbackup-lang-0.4.2-r1.apk
2024-10-25 20:11
1.4K
lua-xml-1.1.3-r2.apk
2024-10-25 20:11
1.4K
baikal-sqlite-0.10.1-r1.apk
2025-05-27 21:37
1.4K
nextpnr-0.7-r0.apk
2024-10-25 20:11
1.4K
arc-theme-20221218-r0.apk
2024-10-25 20:10
1.4K
lua-lanes-3.16.0-r1.apk
2024-10-25 20:11
1.4K
f_scripts-0.6-r1.apk
2024-10-25 20:11
1.4K
logc-libs-0.1.0-r0.apk
2024-10-25 20:11
1.5K
luacov-0.15.0-r0.apk
2024-10-25 20:11
1.5K
prosody-modules-0.11_hg20201208-r0.apk
2024-10-25 20:12
1.5K
libwmiclient-1.3.16-r5.apk
2024-10-25 20:11
1.5K
font-monaspace-1.101-r0.apk
2024-10-25 20:11
1.5K
aufs-util-dev-20161219-r3.apk
2024-10-25 20:10
1.5K
freshrss-mysql-1.23.1-r1.apk
2024-10-25 20:11
1.5K
freshrss-pgsql-1.23.1-r1.apk
2024-10-25 20:11
1.5K
qoi-0.0.0_git20230312-r0.apk
2024-10-25 20:12
1.5K
lua-luastatic-0.0.12-r1.apk
2024-10-25 20:11
1.5K
freshrss-sqlite-1.23.1-r1.apk
2024-10-25 20:11
1.5K
termcolor-2.1.0-r0.apk
2024-10-25 20:12
1.5K
materia-dark-compact-kde-kvantum-20220823-r0.apk
2024-10-25 20:11
1.5K
materia-light-compact-kde-kvantum-20220823-r0.apk
2024-10-25 20:11
1.5K
lomiri-thumbnailer-doc-3.0.4-r2.apk
2025-02-17 09:34
1.5K
biboumi-doc-9.0-r8.apk
2025-05-28 21:40
1.5K
qt5ct-dev-1.8-r0.apk
2024-10-25 20:12
1.5K
openocd-git-cmd-openocd-0_git20240113-r1.apk
2024-10-25 20:12
1.5K
slidge-matridge-openrc-0.1.0-r0.apk
2024-10-25 20:12
1.5K
oils-for-unix-bash-0.34.0-r0.apk
2025-07-18 20:17
1.5K
oils-for-unix-binsh-0.34.0-r0.apk
2025-07-18 20:17
1.5K
subtitleeditor-dev-0.54.0-r3.apk
2024-10-25 20:12
1.5K
remake-make-1.5-r1.apk
2024-10-25 20:12
1.5K
66-init-0.8.2.1-r0.apk
2025-06-03 19:11
1.5K
icingaweb2-module-pnp-doc-1.1.0-r1.apk
2024-10-25 20:11
1.5K
foolsm-openrc-1.0.21-r0.apk
2024-10-25 20:11
1.5K
android-file-transfer-dev-4.5-r0.apk
2025-06-24 23:02
1.6K
libopensles-standalone-dev-0_git20240221-r0.apk
2025-04-14 17:11
1.6K
nullmailer-openrc-2.2-r4.apk
2024-10-25 20:11
1.6K
throttled-openrc-0.10.0-r1.apk
2024-12-15 19:26
1.6K
prosody-mod-saslname-0.11_hg20201208-r0.apk
2024-10-25 20:12
1.6K
py3-litex-hub-modules-2024.04-r0.apk
2024-10-25 20:12
1.6K
pimd-openrc-3.0_git20220201-r0.apk
2024-10-25 20:12
1.6K
neocmakelsp-fish-completion-0.8.22-r0.apk
2025-05-05 08:15
1.6K
3proxy-openrc-0.9.4-r2.apk
2025-04-04 05:40
1.6K
vcstool-tcsh-completion-0.3.0-r5.apk
2024-10-25 20:13
1.6K
barman-bash-completion-3.14.1-r0.apk
2025-06-25 14:18
1.6K
fileshelter-openrc-6.2.0-r3.apk
2025-02-25 06:36
1.6K
aprilsh-0.7.12-r6.apk
2025-07-10 02:26
1.6K
repgrep-bash-completion-0.15.0-r0.apk
2024-10-25 20:12
1.6K
lizardfs-master-openrc-3.13.0-r17.apk
2025-06-19 08:56
1.6K
clang21-ccache-21.1.0_rc1-r1.apk
2025-07-22 15:50
1.6K
repgrep-zsh-completion-0.15.0-r0.apk
2024-10-25 20:12
1.6K
lizardfs-metalogger-openrc-3.13.0-r17.apk
2025-06-19 08:56
1.6K
fluent-bit-openrc-3.1.10-r1.apk
2025-02-17 09:34
1.6K
mbpfan-openrc-2.4.0-r1.apk
2024-10-25 20:11
1.6K
wch-isp-udev-rules-0.4.1-r2.apk
2024-10-25 20:13
1.6K
wf-shell-dev-0.9.0-r0.apk
2025-02-27 20:18
1.7K
lizardfs-chunkserver-openrc-3.13.0-r17.apk
2025-06-19 08:56
1.7K
dstask-zsh-completion-0.26-r15.apk
2025-07-10 02:26
1.7K
lxd-feature-doc-5.20-r12.apk
2025-07-10 02:27
1.7K
prometheus-rethinkdb-exporter-openrc-1.0.1-r29.apk
2025-07-10 02:27
1.7K
materia-20210322-r3.apk
2025-07-10 16:38
1.7K
moosefs-master-openrc-4.56.6-r2.apk
2025-06-19 08:56
1.7K
ruuvi-prometheus-openrc-0.1.9-r3.apk
2025-07-10 02:27
1.7K
moosefs-metalogger-openrc-4.56.6-r2.apk
2025-06-19 08:56
1.7K
repowerd-openrc-2023.07-r3.apk
2025-02-17 09:34
1.7K
sssd-openrc-2.11.0-r0.apk
2025-06-06 09:11
1.7K
moosefs-chunkserver-openrc-4.56.6-r2.apk
2025-06-19 08:56
1.7K
bestline-dev-0.0_git20211108-r0.apk
2024-10-25 20:10
1.7K
monopd-openrc-0.10.4-r0.apk
2025-01-11 11:11
1.7K
materia-dark-20210322-r3.apk
2025-07-10 16:38
1.7K
nymphcast-mediaserver-nftables-0.1-r3.apk
2024-10-25 20:11
1.7K
hiawatha-openrc-11.6-r1.apk
2025-05-27 11:10
1.7K
dcmtk-openrc-3.6.9-r0.apk
2025-01-19 17:13
1.7K
interception-tools-openrc-0.6.8-r2.apk
2024-10-25 20:11
1.7K
materia-compact-20210322-r3.apk
2025-07-10 16:38
1.7K
efl-gdb-1.28.1-r2.apk
2025-03-23 15:03
1.7K
tuptime-openrc-5.2.4-r1.apk
2024-11-28 22:44
1.7K
libwmiclient-dev-1.3.16-r5.apk
2024-10-25 20:11
1.7K
remco-openrc-0.12.5-r1.apk
2025-07-10 02:27
1.7K
deviced-openrc-0_git20250427-r0.apk
2025-07-05 20:03
1.7K
fastd-openrc-23-r0.apk
2025-01-27 21:33
1.7K
wroomd-openrc-0.1.0-r0.apk
2024-10-25 20:13
1.7K
fusee-nano-udev-0.5.3-r1.apk
2024-10-25 20:11
1.7K
materia-dark-compact-20210322-r3.apk
2025-07-10 16:38
1.7K
tuned-openrc-2.25.1-r2.apk
2025-05-28 10:42
1.7K
octoprint-openrc-1.10.3-r0.apk
2024-12-25 22:04
1.7K
neard-openrc-0.19-r0.apk
2024-10-25 20:11
1.7K
lutgen-zsh-completion-1.0.0-r0.apk
2025-07-21 20:37
1.7K
prosody-mod-require_otr-0.11_hg20201208-r0.apk
2024-10-25 20:12
1.7K
soapy-sdr-remote-openrc-0.5.2-r1.apk
2024-10-25 20:12
1.7K
rinetd-openrc-0.73-r0.apk
2024-10-25 20:12
1.7K
pully-openrc-1.0.0-r0.apk
2024-10-25 20:12
1.7K
pantalaimon-ui-0.10.5-r4.apk
2024-10-25 20:12
1.7K
razercfg-openrc-0.42-r7.apk
2024-10-25 20:12
1.7K
zrepl-openrc-0.6.1-r12.apk
2025-07-10 02:27
1.7K
ipp-usb-openrc-0.9.30-r1.apk
2025-07-10 02:27
1.7K
vcstool-zsh-completion-0.3.0-r5.apk
2024-10-25 20:13
1.7K
infnoise-openrc-0.3.3-r0.apk
2025-05-26 08:58
1.7K
speedtest-go-openrc-1.1.5-r16.apk
2025-07-10 02:27
1.7K
taskwarrior-tui-fish-completion-0.26.3-r0.apk
2025-03-26 10:24
1.7K
lutgen-bash-completion-1.0.0-r0.apk
2025-07-21 20:37
1.7K
arc-20221218-r0.apk
2024-10-25 20:10
1.7K
arc-dark-20221218-r0.apk
2024-10-25 20:10
1.8K
tuned-ppd-openrc-2.25.1-r2.apk
2025-05-28 10:42
1.8K
vcstool-bash-completion-0.3.0-r5.apk
2024-10-25 20:13
1.8K
bionic_translation-dev-0_git20250324-r0.apk
2025-04-14 17:11
1.8K
arc-darker-20221218-r0.apk
2024-10-25 20:10
1.8K
prosody-mod-block_registrations-0.11_hg20201208-r0.apk
2024-10-25 20:12
1.8K
prosody-mod-log_auth-0.11_hg20201208-r0.apk
2024-10-25 20:12
1.8K
arc-lighter-20221218-r0.apk
2024-10-25 20:10
1.8K
thermald-openrc-2.5.9-r0.apk
2025-04-25 06:18
1.8K
otrs-fastcgi-6.0.48-r2.apk
2024-10-25 20:12
1.8K
phoronix-test-suite-bash-completion-10.8.4-r2.apk
2024-10-25 20:12
1.8K
geodns-openrc-3.3.0-r14.apk
2025-07-10 02:26
1.8K
docker-volume-local-persist-openrc-1.3.0-r34.apk
2025-07-10 02:26
1.8K
corosync-openrc-3.1.9-r0.apk
2025-05-29 03:16
1.8K
peervpn-openrc-0.044-r5.apk
2024-10-25 20:12
1.8K
paged-markdown-3-pdf-fish-completion-0.1.3-r0.apk
2025-05-28 14:24
1.8K
cliphist-fzf-0.6.1-r6.apk
2025-07-10 02:26
1.8K
cloudflared-openrc-2024.12.1-r7.apk
2025-07-10 02:26
1.8K
nvim-cmp-cmdline-doc-0.0.0_git20220902-r1.apk
2024-10-25 20:11
1.8K
ckb-next-daemon-openrc-0.6.2-r0.apk
2025-03-19 11:45
1.8K
lutgen-fish-completion-1.0.0-r0.apk
2025-07-21 20:37
1.8K
otrs-nginx-6.0.48-r2.apk
2024-10-25 20:12
1.8K
ghq-bash-completion-1.8.0-r2.apk
2025-07-10 02:26
1.8K
piping-server-openrc-0.18.0-r0.apk
2024-10-25 20:12
1.8K
mkdocs-cinder-pyc-1.2.0-r5.apk
2024-10-25 20:11
1.8K
avahi2dns-openrc-0.1.0-r2.apk
2025-07-10 02:26
1.8K
crowdsec-openrc-1.6.11-r0.apk
2025-07-22 21:39
1.8K
elementary-settings-daemon-openrc-8.3.0-r0.apk
2025-05-26 09:05
1.8K
mkdocs-ivory-pyc-0.4.6-r5.apk
2024-10-25 20:11
1.8K
turnstile-openrc-0.1.10-r3.apk
2024-10-25 20:13
1.8K
spacectl-zsh-completion-1.12.0-r2.apk
2025-07-10 02:27
1.8K
mkdocs-windmill-pyc-1.0.5-r4.apk
2024-10-25 20:11
1.8K
udpt-openrc-3.1.2-r0.apk
2024-10-25 20:13
1.8K
mkdocs-bootstrap4-pyc-0.1.5-r5.apk
2024-10-25 20:11
1.8K
prosody-mod-auth_pam-0.11_hg20201208-r0.apk
2024-10-25 20:12
1.8K
librespot-openrc-0.6.0-r0.apk
2024-11-01 21:59
1.8K
mkdocs-rtd-dropdown-pyc-1.0.2-r5.apk
2024-10-25 20:11
1.8K
shutdown-clear-machine-id-1.0.0-r0.apk
2024-10-25 20:12
1.8K
mkdocs-bootstrap-pyc-1.1.1-r2.apk
2024-10-25 20:11
1.8K
mkdocs-cluster-pyc-0.0.9-r5.apk
2024-10-25 20:11
1.8K
espeakup-openrc-0.90-r2.apk
2024-10-25 20:11
1.8K
gearmand-openrc-1.1.21-r2.apk
2025-05-22 07:14
1.8K
mkdocs-gitbook-pyc-0.0.1-r5.apk
2024-10-25 20:11
1.8K
mkdocs-bootstrap386-pyc-0.0.2-r5.apk
2024-10-25 20:11
1.8K
neocmakelsp-zsh-completion-0.8.22-r0.apk
2025-05-05 08:15
1.8K
filebrowser-openrc-2.27.0-r12.apk
2025-07-10 02:26
1.8K
hwatch-fish-completion-0.3.11-r0.apk
2024-10-25 20:11
1.8K
synapse-bt-openrc-1.0-r4.apk
2024-10-25 20:12
1.8K
taskcafe-openrc-0.3.6-r14.apk
2025-07-10 02:27
1.8K
irccd-openrc-4.0.3-r0.apk
2024-10-25 20:11
1.8K
py3-yosys-0.42-r1.apk
2025-06-12 14:06
1.8K
reaction-openrc-2.1.2-r0.apk
2025-07-15 07:52
1.8K
tremc-zsh-completion-0.9.4-r0.apk
2025-07-16 04:10
1.8K
aprilsh-openrc-0.7.12-r6.apk
2025-07-10 02:26
1.8K
nvimpager-zsh-completion-0.12.0-r0.apk
2024-10-25 20:11
1.8K
py3-cython-test-exception-raiser-pyc-1.0.2-r0.apk
2024-10-25 20:12
1.8K
materia-kde-konsole-20220823-r0.apk
2024-10-25 20:11
1.8K
jackal-openrc-0.64.0-r16.apk
2025-07-10 02:27
1.8K
p910nd-openrc-0.97-r2.apk
2024-10-25 20:12
1.8K
py3-sphinx-theme-bw-pyc-0.1.8-r7.apk
2024-10-25 20:12
1.8K
battery-limit-openrc-1-r0.apk
2025-06-17 10:19
1.8K
pihole-openrc-6.2.3-r0.apk
2025-06-20 18:05
1.8K
materia-dark-kde-konsole-20220823-r0.apk
2024-10-25 20:11
1.8K
minidyndns-openrc-1.3.0-r3.apk
2024-10-25 20:11
1.8K
anubis-openrc-1.21.1-r0.apk
2025-07-23 08:14
1.8K
prosody-mod-broadcast-0.11_hg20201208-r0.apk
2024-10-25 20:12
1.8K
dmarc-metrics-exporter-openrc-1.2.0-r0.apk
2024-11-29 22:02
1.9K
yaru-schemas-25.10.1-r0.apk
2025-07-13 20:19
1.9K
pimd-dense-openrc-2.1.0-r0.apk
2024-10-25 20:12
1.9K
speedtest_exporter-openrc-0.3.2-r16.apk
2025-07-10 02:27
1.9K
debconf-bash-completion-1.5.82-r0.apk
2024-10-25 20:11
1.9K
paged-markdown-3-pdf-zsh-completion-0.1.3-r0.apk
2025-05-28 14:24
1.9K
paged-markdown-3-pdf-bash-completion-0.1.3-r0.apk
2025-05-28 14:24
1.9K
tpm2-pkcs11-dev-1.9.1-r0.apk
2024-10-25 20:12
1.9K
roll-bash-completion-2.6.1-r0.apk
2025-05-26 23:03
1.9K
olsrd-openrc-0.9.8-r3.apk
2024-10-25 20:12
1.9K
upterm-server-openrc-0.14.3-r6.apk
2025-07-10 02:27
1.9K
pgcat-openrc-1.2.0-r1.apk
2025-01-01 17:38
1.9K
eiwd-openrc-3.9-r0.apk
2025-07-22 12:27
1.9K
lizardfs-bash-completion-3.13.0-r17.apk
2025-06-19 08:56
1.9K
tang-openrc-15-r0.apk
2025-01-20 04:17
1.9K
llmnrd-openrc-0.7-r1.apk
2024-10-25 20:11
1.9K
biboumi-openrc-9.0-r8.apk
2025-05-28 21:40
1.9K
pict-rs-openrc-0.5.19-r0.apk
2025-05-19 09:18
1.9K
flowd-openrc-0.9.1-r11.apk
2025-07-01 19:19
1.9K
icesprog-udev-0_git20240108-r1.apk
2024-10-25 20:11
1.9K
prometheus-smartctl-exporter-openrc-0.14.0-r1.apk
2025-07-10 02:27
1.9K
otrs-openrc-6.0.48-r2.apk
2024-10-25 20:12
1.9K
minisatip-openrc-1.3.4-r0.apk
2024-10-25 20:11
1.9K
satellite-openrc-1.0.0-r29.apk
2025-07-10 02:27
1.9K
tremc-bash-completion-0.9.4-r0.apk
2025-07-16 04:10
1.9K
libsirocco-dev-2.1.0-r2.apk
2024-10-25 20:11
1.9K
f_scripts-f_game-0.6-r1.apk
2024-10-25 20:11
1.9K
json2tsv-jaq-1.2-r0.apk
2024-10-25 20:11
1.9K
py3-cryptg-pyc-0.5.0-r0.apk
2025-05-08 12:15
1.9K
sish-openrc-2.16.1-r6.apk
2025-07-10 02:27
1.9K
ntpd-rs-openrc-1.6.1-r0.apk
2025-07-16 11:23
1.9K
cloudflared-doc-2024.12.1-r7.apk
2025-07-10 02:26
1.9K
seaweedfs-openrc-3.93-r0.apk
2025-07-13 20:44
1.9K
flawz-fish-completion-0.3.0-r0.apk
2024-11-03 21:06
1.9K
conntracct-openrc-0.2.7-r32.apk
2025-07-10 02:26
1.9K
tuned-bash-completion-2.25.1-r2.apk
2025-05-28 10:42
1.9K
empede-openrc-0.2.3-r0.apk
2024-10-25 20:11
1.9K
apt-swarm-openrc-0.5.1-r0.apk
2025-05-26 11:00
1.9K
gmcapsule-openrc-0.9.7-r0.apk
2025-01-08 19:12
1.9K
hwatch-zsh-completion-0.3.11-r0.apk
2024-10-25 20:11
1.9K
daktilo-fish-completion-0.6.0-r0.apk
2024-10-25 20:11
1.9K
chasquid-openrc-1.15.0-r5.apk
2025-07-10 02:26
1.9K
bees-openrc-0.10-r2.apk
2024-10-25 20:10
1.9K
zrepl-zsh-completion-0.6.1-r12.apk
2025-07-10 02:27
1.9K
perl-dns-unbound-asyncquery-promisexs-0.29-r2.apk
2025-07-01 19:19
1.9K
prometheus-ipmi-exporter-openrc-1.8.0-r5.apk
2025-05-12 07:42
1.9K
hardinfo2-openrc-2.2.10-r0.apk
2025-05-18 22:19
2.0K
sthttpd-openrc-2.27.1-r2.apk
2024-10-25 20:12
2.0K
ry-bash-completion-0.5.2-r1.apk
2024-10-25 20:12
2.0K
neocmakelsp-bash-completion-0.8.22-r0.apk
2025-05-05 08:15
2.0K
prosody-mod-ipcheck-0.11_hg20201208-r0.apk
2024-10-25 20:12
2.0K
halp-fish-completion-0.2.0-r0.apk
2024-10-25 20:11
2.0K
ma1sd-openrc-2.5.0-r3.apk
2024-10-25 20:11
2.0K
turn-rs-openrc-3.4.0-r1.apk
2025-06-12 14:06
2.0K
lomiri-docviewer-app-doc-3.0.4-r2.apk
2025-03-13 06:06
2.0K
prometheus-unbound-exporter-openrc-0.4.6-r5.apk
2025-05-12 07:42
2.0K
daemontools-openrc-0.76-r3.apk
2024-10-25 20:11
2.0K
exercism-bash-completion-3.2.0-r14.apk
2025-07-10 02:26
2.0K
tealdeer-bash-completion-1.7.2-r0.apk
2025-03-23 13:20
2.0K
py3-lzo-pyc-1.16-r1.apk
2024-10-25 20:12
2.0K
py3-slidge-style-parser-pyc-0.1.9-r0.apk
2025-04-12 06:04
2.0K
hamster-time-tracker-bash-completion-3.0.3-r2.apk
2024-10-25 20:11
2.0K
lizardfs-cgiserv-openrc-3.13.0-r17.apk
2025-06-19 08:56
2.0K
prometheus-smtp2go-exporter-openrc-0.1.1-r0.apk
2025-07-22 16:09
2.0K
downloader-cli-0.3.4-r2.apk
2025-05-14 18:17
2.0K
nvim-cmp-path-doc-0.0.0_git20221002-r1.apk
2024-10-25 20:11
2.0K
xisxwayland-doc-2-r1.apk
2024-10-25 20:13
2.0K
stayrtr-openrc-0.6.2-r5.apk
2025-07-10 02:27
2.0K
nmap-parse-output-bash-completion-1.5.1-r1.apk
2025-05-29 12:07
2.0K
taskwarrior-tui-bash-completion-0.26.3-r0.apk
2025-03-26 10:24
2.0K
agate-openrc-3.3.8-r0.apk
2024-10-25 20:10
2.0K
py3-sphinx-theme-better-pyc-0.1.5-r7.apk
2024-10-25 20:12
2.0K
geomyidae-openrc-0.34-r2.apk
2024-10-25 20:11
2.0K
py3-keepalive-doc-0.5-r5.apk
2024-10-25 20:12
2.0K
moosefs-cgiserv-openrc-4.56.6-r2.apk
2025-06-19 08:56
2.0K
msh-openrc-2.5.0-r13.apk
2025-07-10 02:27
2.0K
gotify-openrc-2.5.0-r7.apk
2025-07-10 02:27
2.0K
prosody-mod-reload_modules-0.11_hg20201208-r0.apk
2024-10-25 20:12
2.0K
prosody-mod-c2s_conn_throttle-0.11_hg20201208-r0.apk
2024-10-25 20:12
2.0K
projectm-pulseaudio-doc-3.1.12-r2.apk
2024-10-25 20:12
2.0K
66-tools-dev-0.1.2.0-r0.apk
2025-06-01 16:07
2.0K
usbmuxd-udev-1.1.1-r9.apk
2025-04-18 14:39
2.0K
cpu-x-bash-completion-5.2.0-r1.apk
2025-05-06 10:02
2.0K
alps-openrc-0_git20230807-r13.apk
2025-07-10 02:26
2.0K
prosody-mod-s2s_idle_timeout-0.11_hg20201208-r0.apk
2024-10-25 20:12
2.0K
odyssey-openrc-1.3-r3.apk
2024-10-25 20:12
2.0K
prosody-mod-support_contact-0.11_hg20201208-r0.apk
2024-10-25 20:12
2.0K
py3-editdistance-s-pyc-1.0.0-r6.apk
2024-10-25 20:12
2.0K
openswitcher-proxy-openrc-0.5.0-r4.apk
2024-10-25 20:12
2.0K
prosody-mod-stanza_counter-0.11_hg20201208-r0.apk
2024-10-25 20:12
2.0K
thelounge-openrc-4.4.3-r0.apk
2024-10-25 20:12
2.0K
nvim-cmp-luasnip-doc-0.0.0_git20220501-r1.apk
2024-10-25 20:11
2.0K
clevis-bash-completion-21-r0.apk
2025-01-20 04:17
2.0K
cortex-tenant-openrc-1.15.2-r7.apk
2025-07-10 02:26
2.0K
atool-bash-completion-0.39.0-r4.apk
2024-10-25 20:10
2.0K
utop-common-2.9.1-r4.apk
2024-10-25 20:13
2.0K
libuninameslist-doc-20230916-r0.apk
2024-10-25 20:11
2.0K
spacectl-bash-completion-1.12.0-r2.apk
2025-07-10 02:27
2.0K
firehol-openrc-3.1.7-r2.apk
2024-10-25 20:11
2.1K
lomiri-indicator-network-doc-1.1.1-r0.apk
2025-05-09 17:35
2.1K
ssh-honeypot-openrc-0.1.1-r1.apk
2024-10-25 20:12
2.1K
sdl3_image-doc-3.2.4-r0.apk
2025-03-24 20:26
2.1K
docker-auth-openrc-1.13.0-r6.apk
2025-07-13 21:39
2.1K
apmpkg-fish-completion-1.5.1-r3.apk
2024-10-25 20:10
2.1K
stalwart-mail-openrc-0.13.1-r0.apk
2025-07-17 07:16
2.1K
rezolus-openrc-2.11.1-r3.apk
2024-10-25 20:12
2.1K
sing-box-openrc-1.11.15-r0.apk
2025-07-10 11:56
2.1K
nzbget-openrc-25.2-r0.apk
2025-07-04 22:20
2.1K
zapret-openrc-0.0.0_git20220125-r1.apk
2024-10-25 20:13
2.1K
dasht-zsh-completion-2.4.0-r0.apk
2024-10-25 20:11
2.1K
prometheus-opnsense-exporter-openrc-0.0.8-r1.apk
2025-07-10 02:27
2.1K
pithos-doc-1.6.1-r0.apk
2024-10-25 20:12
2.1K
wk-adblock-doc-0.0.4-r5.apk
2024-10-25 20:13
2.1K
viewnior-doc-1.8-r1.apk
2024-10-25 20:13
2.1K
fildesh-doc-0.2.0-r0.apk
2024-10-25 20:11
2.1K
kondo-fish-completion-0.8-r0.apk
2024-10-25 20:11
2.1K
cherrytree-doc-1.4.0-r0.apk
2025-03-26 17:40
2.1K
kondo-bash-completion-0.8-r0.apk
2024-10-25 20:11
2.1K
py3-swagger-ui-bundle-pyc-1.1.0-r1.apk
2024-10-25 20:12
2.1K
exercism-zsh-completion-3.2.0-r14.apk
2025-07-10 02:26
2.1K
oauth2-proxy-openrc-7.8.1-r3.apk
2025-07-10 02:27
2.1K
dstask-bash-completion-0.26-r15.apk
2025-07-10 02:26
2.1K
xkb-switch-doc-1.8.5-r1.apk
2025-05-14 18:17
2.1K
ytmdl-zsh-completion-2024.08.15.1-r1.apk
2025-05-14 18:17
2.1K
f_scripts-f_maps-0.6-r1.apk
2024-10-25 20:11
2.1K
flawz-bash-completion-0.3.0-r0.apk
2024-11-03 21:06
2.1K
tailspin-fish-completion-5.4.2-r0.apk
2025-05-14 09:45
2.1K
lxd-feature-scripts-5.20-r12.apk
2025-07-10 02:27
2.1K
cpu-x-zsh-completion-5.2.0-r1.apk
2025-05-06 10:02
2.1K
mdnsd-openrc-0.12-r1.apk
2024-10-25 20:11
2.1K
hitide-openrc-0.15.0-r0.apk
2024-10-25 20:11
2.1K
mdcat-fish-completion-2.7.1-r0.apk
2024-12-14 18:04
2.1K
py3-pypubsub-doc-4.0.3-r0.apk
2024-10-25 20:12
2.1K
qtpass-doc-1.4.0-r0.apk
2024-10-25 20:12
2.1K
planner-doc-0.14.92-r1.apk
2024-12-08 21:39
2.2K
ydcv-zsh-completion-0.7-r8.apk
2024-10-25 20:13
2.2K
daktilo-bash-completion-0.6.0-r0.apk
2024-10-25 20:11
2.2K
fbcur-doc-1.0.1-r1.apk
2024-10-25 20:11
2.2K
halp-bash-completion-0.2.0-r0.apk
2024-10-25 20:11
2.2K
libideviceactivation-doc-1.1.1-r5.apk
2024-10-30 22:44
2.2K
nano-hare-0_git20231021-r0.apk
2024-10-25 20:11
2.2K
pihole-bash-completion-6.2.3-r0.apk
2025-06-20 18:05
2.2K
prosody-mod-log_slow_events-0.11_hg20201208-r0.apk
2024-10-25 20:12
2.2K
adguardhome-openrc-0.107.62-r1.apk
2025-07-10 02:26
2.2K
apmpkg-bash-completion-1.5.1-r3.apk
2024-10-25 20:10
2.2K
flare-game-1.14-r0.apk
2024-10-25 20:11
2.2K
hddfancontrol-openrc-1.6.2-r0.apk
2024-10-25 20:11
2.2K
exabgp-openrc-4.2.24-r0.apk
2025-03-15 22:11
2.2K
porla-doc-0.41.0-r2.apk
2025-02-24 15:10
2.2K
mint-themes-2.1.1-r0.apk
2024-10-25 20:11
2.2K
py3-uacme-desec-doc-1.2.1-r0.apk
2024-10-25 20:12
2.2K
gmid-openrc-2.1.1-r0.apk
2024-11-27 19:26
2.2K
greetd-mini-wl-greeter-bash-completion-0_git20230821-r0.apk
2024-10-25 20:11
2.2K
wl-ime-type-doc-0.1.1-r0.apk
2025-04-13 09:13
2.2K
whatsie-doc-4.16.3-r0.apk
2025-01-12 13:12
2.2K
syncthing-gtk-doc-0.9.4.5-r2.apk
2024-10-25 20:12
2.2K
mdcat-bash-completion-2.7.1-r0.apk
2024-12-14 18:04
2.2K
tealdeer-fish-completion-1.7.2-r0.apk
2025-03-23 13:20
2.2K
py3-sphinx-theme-readable-pyc-1.3.0-r9.apk
2024-10-25 20:12
2.2K
cpu-x-fish-completion-5.2.0-r1.apk
2025-05-06 10:02
2.2K
wpa_actiond-openrc-1.4-r7.apk
2024-10-25 20:13
2.2K
py3-phx-class-registry-doc-5.0.0-r0.apk
2024-10-25 20:12
2.2K
ddgr-bash-completion-2.2-r0.apk
2024-10-25 20:11
2.2K
ruby-minitest-power_assert-0.3.1-r0.apk
2025-07-06 18:48
2.2K
walk-doc-1.13.0-r4.apk
2025-07-10 02:27
2.2K
openrdap-doc-0.9.1-r0.apk
2025-06-24 15:50
2.2K
flawz-zsh-completion-0.3.0-r0.apk
2024-11-03 21:06
2.2K
tailspin-bash-completion-5.4.2-r0.apk
2025-05-14 09:45
2.2K
ruri-doc-3.8-r0.apk
2025-06-24 02:43
2.2K
svls-doc-0.2.12-r0.apk
2024-10-25 20:12
2.2K
py3-flake8-todo-pyc-0.7-r7.apk
2024-10-25 20:12
2.2K
jaq-doc-2.3.0-r0.apk
2025-07-20 10:52
2.2K
net-predictable-doc-1.5.1-r1.apk
2025-07-10 02:27
2.2K
gost-doc-3.1.0-r1.apk
2025-07-10 02:27
2.2K
hyx-doc-2024.02.29-r0.apk
2024-10-25 20:11
2.2K
py3-rst2ansi-doc-0.1.5-r0.apk
2024-10-25 20:12
2.2K
asdf-doc-0.18.0-r1.apk
2025-07-10 02:26
2.2K
edit-doc-1.2.0-r0.apk
2025-06-12 15:25
2.2K
lefthook-doc-1.11.14-r1.apk
2025-07-10 02:27
2.2K
tmpl-doc-0.4.0-r12.apk
2025-07-10 02:27
2.2K
webhookd-openrc-1.20.2-r1.apk
2025-07-10 02:27
2.2K
helmfile-doc-1.1.2-r1.apk
2025-07-10 02:27
2.2K
sentinel-proxy-openrc-2.1.0-r1.apk
2025-06-13 14:22
2.2K
ruby-hashdiff-doc-1.1.1-r1.apk
2025-04-23 21:27
2.2K
helm-ls-doc-0.4.1-r0.apk
2025-07-19 10:17
2.2K
motion-openrc-4.7.0-r0.apk
2024-10-25 20:11
2.2K
remco-doc-0.12.5-r1.apk
2025-07-10 02:27
2.2K
rustdesk-server-openrc-1.1.10.3-r0.apk
2024-10-25 20:12
2.3K
imgdiff-doc-1.0.2-r27.apk
2025-07-10 02:27
2.3K
katana-doc-1.1.3-r2.apk
2025-07-10 02:27
2.3K
tabby-doc-3.1-r1.apk
2024-10-25 20:12
2.3K
webhookd-doc-1.20.2-r1.apk
2025-07-10 02:27
2.3K
httplz-doc-2.2.0-r0.apk
2025-05-18 15:39
2.3K
py3-deluge-client-doc-1.10.2-r0.apk
2024-10-25 20:12
2.3K
goshs-doc-1.1.0-r1.apk
2025-07-10 02:27
2.3K
ry-zsh-completion-0.5.2-r1.apk
2024-10-25 20:12
2.3K
dbmate-doc-2.26.0-r3.apk
2025-07-10 02:26
2.3K
ruby-dry-inflector-doc-1.1.0-r1.apk
2025-04-23 21:27
2.3K
py3-python-logstash-doc-0.4.8-r4.apk
2024-10-25 20:12
2.3K
slurm-doc-0.4.4-r0.apk
2024-10-25 20:12
2.3K
anubis-doc-1.21.1-r0.apk
2025-07-23 08:14
2.3K
go-away-openrc-0.7.0-r0.apk
2025-06-10 18:18
2.3K
gx-go-doc-1.9.0-r33.apk
2025-07-10 02:27
2.3K
tfupdate-doc-0.8.2-r7.apk
2025-07-10 02:27
2.3K
dufs-bash-completion-0.43.0-r0.apk
2025-01-23 19:05
2.3K
ruby-benchmark-ips-doc-2.14.0-r0.apk
2025-05-25 23:54
2.3K
copyq-bash-completion-10.0.0-r0.apk
2025-06-25 13:53
2.3K
ruby-event_emitter-doc-0.2.6-r0.apk
2025-05-26 00:00
2.3K
daktilo-zsh-completion-0.6.0-r0.apk
2024-10-25 20:11
2.3K
tree-sitter-pascal-doc-0.9.1-r0.apk
2024-10-25 20:12
2.3K
drogon-doc-1.9.4-r2.apk
2025-05-22 07:14
2.3K
gx-doc-0.14.3-r31.apk
2025-07-10 02:27
2.3K
ytmdl-bash-completion-2024.08.15.1-r1.apk
2025-05-14 18:17
2.3K
emacs-lsp-booster-doc-0.2.1-r0.apk
2025-04-12 12:21
2.3K
cyrus-sasl-xoauth2-doc-0.2-r1.apk
2024-10-25 20:11
2.3K
yaml-language-server-doc-1.19.0-r1.apk
2025-07-15 05:51
2.3K
zizmor-doc-1.10.0-r0.apk
2025-06-28 18:16
2.3K
httpx-doc-1.7.0-r3.apk
2025-07-10 02:27
2.3K
mml-fish-completion-1.0.0-r0.apk
2024-10-25 20:11
2.3K
ergo-ldap-doc-0.0.1-r18.apk
2025-07-10 02:26
2.3K
spacectl-doc-1.12.0-r2.apk
2025-07-10 02:27
2.3K
empede-doc-0.2.3-r0.apk
2024-10-25 20:11
2.3K
dnscontrol-doc-4.22.0-r0.apk
2025-07-15 20:15
2.3K
hurl-bash-completion-6.1.1-r0.apk
2025-05-03 17:29
2.3K
ruby-coderay-doc-1.1.3-r0.apk
2025-05-25 23:56
2.3K
tree-sitter-caddy-doc-0_git20230322-r0.apk
2024-10-25 20:12
2.3K
ubuntu-archive-keyring-doc-2023.11.28.1-r0.apk
2024-10-25 20:13
2.3K
eludris-doc-0.3.3-r1.apk
2024-10-25 20:11
2.3K
powerstat-bash-completion-0.04.01-r0.apk
2024-10-25 20:12
2.3K
vectoroids-doc-1.1.0-r2.apk
2024-10-25 20:13
2.3K
faultstat-bash-completion-0.01.11-r0.apk
2024-10-25 20:11
2.3K
innernet-openrc-1.6.1-r0.apk
2024-10-25 20:11
2.3K
ddgr-fish-completion-2.2-r0.apk
2024-10-25 20:11
2.3K
perl-promise-es6-future-0.28-r0.apk
2024-10-25 20:12
2.3K
pnmixer-doc-0.7.2-r3.apk
2024-10-25 20:12
2.3K
thelounge-doc-4.4.3-r0.apk
2024-10-25 20:12
2.3K
bgs-doc-0.8-r1.apk
2024-10-25 20:11
2.3K
dublin-traceroute-doc-0.4.2-r4.apk
2024-10-25 20:11
2.3K
httpie-oauth-pyc-1.0.2-r9.apk
2024-10-25 20:11
2.3K
mml-bash-completion-1.0.0-r0.apk
2024-10-25 20:11
2.3K
ruby-appraisal-doc-2.5.0-r0.apk
2025-05-25 23:52
2.3K
slidge-openrc-0.1.3-r0.apk
2024-10-25 20:12
2.3K
tealdeer-zsh-completion-1.7.2-r0.apk
2025-03-23 13:20
2.3K
libtins-doc-4.5-r1.apk
2024-10-25 20:11
2.3K
theme.sh-doc-1.1.5-r0.apk
2024-10-25 20:12
2.3K
mint-x-theme-2.1.1-r0.apk
2024-10-25 20:11
2.3K
maxima-bash-completion-5.47.0-r9.apk
2025-07-07 21:33
2.3K
bootterm-dbg-0.5-r0.apk
2024-10-25 20:11
2.3K
json2tsv-jaq-doc-1.2-r0.apk
2024-10-25 20:11
2.3K
mpdris2-lang-0.9.1-r3.apk
2024-10-25 20:11
2.3K
raku-protocol-mqtt-doc-0.0.4-r0.apk
2025-06-08 16:33
2.3K
ifuse-doc-1.1.4-r5.apk
2024-10-30 22:44
2.3K
perl-dns-unbound-anyevent-0.29-r2.apk
2025-07-01 19:19
2.3K
perl-uri-ws-0.03-r0.apk
2025-03-19 11:46
2.3K
lutris-doc-0.5.19-r0.apk
2025-05-26 11:20
2.3K
py3-sphinx-theme-bootstrap-pyc-0.8.1-r4.apk
2024-10-25 20:12
2.3K
dufs-fish-completion-0.43.0-r0.apk
2025-01-23 19:05
2.3K
exercism-fish-completion-3.2.0-r14.apk
2025-07-10 02:26
2.4K
qucs-s-doc-1.1.0-r1.apk
2024-10-25 20:12
2.4K
gaupol-doc-1.12-r2.apk
2024-10-25 20:11
2.4K
pongoos-loader-0_git20210704-r1.apk
2024-10-25 20:12
2.4K
river-shifttags-doc-0.2.1-r1.apk
2025-05-12 21:41
2.4K
soapy-sdr-remote-doc-0.5.2-r1.apk
2024-10-25 20:12
2.4K
f_scripts-f_timer-0.6-r1.apk
2024-10-25 20:11
2.4K
raku-cro-core-doc-0.8.10-r0.apk
2025-06-07 07:44
2.4K
btfs-doc-2.24-r12.apk
2024-10-25 20:11
2.4K
clipit-doc-1.4.5-r3.apk
2024-10-25 20:11
2.4K
ptpd-openrc-2.3.1-r1.apk
2024-10-25 20:12
2.4K
openwsman-doc-2.8.1-r1.apk
2025-07-01 19:19
2.4K
py3-mnemonic-doc-0.21-r0.apk
2024-10-25 20:12
2.4K
halp-zsh-completion-0.2.0-r0.apk
2024-10-25 20:11
2.4K
apx-doc-2.4.5-r1.apk
2025-07-19 08:24
2.4K
otrs-bash-completion-6.0.48-r2.apk
2024-10-25 20:12
2.4K
raku-digest-sha1-native-doc-0.06-r0.apk
2025-06-08 16:33
2.4K
gliderlabs-sigil-doc-0.11.0-r6.apk
2025-07-10 02:27
2.4K
policycoreutils-bash-completion-3.6-r1.apk
2024-10-25 20:12
2.4K
perl-dns-unbound-ioasync-0.29-r2.apk
2025-07-01 19:19
2.4K
ocp-indent-vim-1.8.2-r2.apk
2024-10-25 20:12
2.4K
lxd-feature-openrc-5.20-r12.apk
2025-07-10 02:27
2.4K
wl-screenrec-bash-completion-0.1.7-r0.apk
2025-04-12 21:20
2.4K
somebar-doc-1.0.3-r0.apk
2024-10-25 20:12
2.4K
apmpkg-zsh-completion-1.5.1-r3.apk
2024-10-25 20:10
2.4K
mdcat-zsh-completion-2.7.1-r0.apk
2024-12-14 18:04
2.4K
ideviceinstaller-doc-1.1.1-r4.apk
2024-10-30 22:44
2.5K
py3-flask-headers-pyc-1.0-r9.apk
2024-10-25 20:12
2.5K
kondo-zsh-completion-0.8-r0.apk
2024-10-25 20:11
2.5K
libcotp-dev-3.1.0-r0.apk
2024-10-25 20:11
2.5K
tailspin-zsh-completion-5.4.2-r0.apk
2025-05-14 09:45
2.5K
flare-engine-doc-1.14-r0.apk
2024-10-25 20:11
2.5K
lsdvd-doc-0.17-r0.apk
2024-10-25 20:11
2.5K
py3-sphinx-theme-epfl-pyc-1.1.1-r9.apk
2024-10-25 20:12
2.5K
seed7-nano-05.20240322-r0.apk
2024-10-25 20:12
2.5K
beard-doc-0.4-r0.apk
2024-10-25 20:10
2.5K
frescobaldi-doc-3.3.0-r1.apk
2024-10-25 20:11
2.5K
ghq-zsh-completion-1.8.0-r2.apk
2025-07-10 02:26
2.5K
bwrap-oci-doc-0.2-r1.apk
2024-10-25 20:11
2.5K
mktorrent-borg-doc-0.9.9-r1.apk
2024-10-25 20:11
2.5K
perl-plack-middleware-removeredundantbody-0.09-r0.apk
2024-12-27 14:02
2.5K
ouch-bash-completion-0.6.1-r0.apk
2025-05-28 07:39
2.5K
xfce4-mixer-doc-4.18.1-r2.apk
2024-10-25 20:13
2.5K
xlhtml-doc-0.5.1-r0.apk
2024-10-25 20:13
2.5K
vdr-plugin-dvbapi-lang-2.2.5-r0.apk
2024-10-25 20:13
2.5K
tup-vim-0.7.11-r1.apk
2025-06-19 08:56
2.5K
perl-promise-es6-anyevent-0.28-r0.apk
2024-10-25 20:12
2.5K
hyfetch-zsh-completion-1.99.0-r1.apk
2024-10-25 20:11
2.5K
raku-docker-file-doc-1.1-r0.apk
2025-06-07 07:44
2.5K
raku-html-escape-doc-0.0.1-r0.apk
2025-07-21 08:40
2.5K
py3-eradicate-doc-2.3.0-r2.apk
2024-10-25 20:12
2.5K
pully-1.0.0-r0.apk
2024-10-25 20:12
2.5K
freshrss-openrc-1.23.1-r1.apk
2024-10-25 20:11
2.5K
stw-doc-0.3-r0.apk
2024-10-25 20:12
2.5K
xendmail-doc-0.4.3-r0.apk
2024-10-25 20:13
2.5K
flann-doc-1.9.2-r1.apk
2025-02-17 09:34
2.5K
f_scripts-f_rss-0.6-r1.apk
2024-10-25 20:11
2.5K
idevicerestore-doc-1.0.0-r4.apk
2024-10-30 22:44
2.5K
perl-datetime-timezone-alias-0.06-r0.apk
2024-10-25 20:12
2.5K
lxd-openrc-5.0.3-r12.apk
2025-07-10 02:27
2.5K
raku-crypt-random-doc-0.4.1-r0.apk
2025-06-07 07:44
2.5K
ghq-fish-completion-1.8.0-r2.apk
2025-07-10 02:26
2.5K
xgalaga-doc-2.1.1.0-r1.apk
2024-10-25 20:13
2.5K
alarmwakeup-dev-0.2.1-r0.apk
2024-10-25 20:10
2.6K
f_scripts-f_theme-0.6-r1.apk
2024-10-25 20:11
2.6K
nicotine-plus-doc-3.3.10-r0.apk
2025-05-01 18:06
2.6K
ol-doc-2.6-r0.apk
2025-05-01 06:23
2.6K
perl-promise-es6-mojo-ioloop-0.28-r0.apk
2024-10-25 20:12
2.6K
fuseiso-doc-20070708-r0.apk
2025-04-14 01:10
2.6K
perl-class-unload-0.11-r0.apk
2025-06-04 17:22
2.6K
py3-flake8-blind-except-pyc-0.2.1-r4.apk
2024-10-25 20:12
2.6K
scooper-doc-1.3-r1.apk
2024-10-25 20:12
2.6K
py3-igraph-dev-0.11.9-r0.apk
2025-06-11 20:47
2.6K
xcompmgr-doc-1.1.10-r0.apk
2025-06-28 11:28
2.6K
py3-bottle-request-pyc-0.2.0-r9.apk
2024-10-25 20:12
2.6K
sentinel-minipot-openrc-2.3.0-r1.apk
2024-10-25 20:12
2.6K
py3-modern_colorthief-pyc-0.1.7-r0.apk
2025-06-16 18:11
2.6K
nvim-cmp-lsp-doc-0.0.0_git20220516-r1.apk
2024-10-25 20:11
2.6K
perl-tie-toobject-0.03-r0.apk
2025-03-19 11:46
2.6K
faust-vim-2.79.3-r0.apk
2025-06-07 17:04
2.6K
py3-unidns-examples-0.0.1-r3.apk
2025-05-29 12:07
2.6K
lxappearance-doc-0.6.3-r3.apk
2024-10-25 20:11
2.6K
trantor-doc-1.5.18-r0.apk
2024-10-25 20:12
2.6K
xsoldier-doc-1.8-r2.apk
2024-10-25 20:13
2.6K
py3-print-color-doc-0.4.6-r0.apk
2024-10-25 20:12
2.6K
raku-if-doc-0.1.3-r0.apk
2025-06-07 07:44
2.6K
raku-nativelibs-doc-0.0.9-r0.apk
2025-07-21 08:40
2.6K
py3-visitor-pyc-0.1.3-r7.apk
2024-10-25 20:12
2.6K
nsnake-doc-3.0.0-r0.apk
2024-10-25 20:11
2.6K
py3-luhn-pyc-0.2.0-r9.apk
2024-10-25 20:12
2.6K
sxcs-doc-1.1.0-r0.apk
2024-10-25 20:12
2.6K
prosody-mod-webpresence-0.11_hg20201208-r0.apk
2024-10-25 20:12
2.6K
prosody-mod-auth_sql-0.11_hg20201208-r0.apk
2024-10-25 20:12
2.6K
perl-dns-unbound-mojo-0.29-r2.apk
2025-07-01 19:19
2.7K
dufs-zsh-completion-0.43.0-r0.apk
2025-01-23 19:05
2.7K
wch-isp-doc-0.4.1-r2.apk
2024-10-25 20:13
2.7K
mame-common-0.251-r0.apk
2024-10-25 20:11
2.7K
endlessh-doc-1.1-r1.apk
2025-05-25 07:51
2.7K
colormake-doc-0.9.20170221-r0.apk
2024-10-25 20:11
2.7K
prosody-mod-vcard_muc-0.11_hg20201208-r0.apk
2024-10-25 20:12
2.7K
lomiri-terminal-app-doc-2.0.5-r0.apk
2025-05-09 17:35
2.7K
hardinfo2-doc-2.2.10-r0.apk
2025-05-18 22:19
2.7K
jami-qt-doc-20230925-r0.apk
2024-10-25 20:11
2.7K
perl-catalyst-manual-5.9013-r0.apk
2025-04-04 05:22
2.7K
porla-openrc-0.41.0-r2.apk
2025-02-24 15:10
2.7K
ddgr-zsh-completion-2.2-r0.apk
2024-10-25 20:11
2.7K
libnih-doc-1.0.3-r7.apk
2024-10-25 20:11
2.7K
prosody-mod-register_redirect-0.11_hg20201208-r0.apk
2024-10-25 20:12
2.7K
perl-template-plugin-csv-0.04-r3.apk
2024-10-25 20:12
2.7K
perl-uri-tcp-2.0.0-r0.apk
2024-10-25 20:12
2.7K
ip2location-doc-8.6.1-r0.apk
2024-10-25 20:11
2.7K
quodlibet-zsh-completion-4.6.0-r2.apk
2025-05-12 21:41
2.7K
h4h5tools-doc-2.2.5-r4.apk
2024-10-25 20:11
2.7K
perl-catalyst-component-instancepercontext-0.001001-r1.apk
2025-06-19 10:55
2.7K
perl-net-curl-promiser-anyevent-0.20-r0.apk
2024-10-25 20:12
2.7K
pomo-doc-0.8.1-r24.apk
2025-07-10 02:27
2.7K
b2sum-doc-20190729-r2.apk
2024-10-25 20:10
2.7K
raku-json-optin-doc-0.0.2-r0.apk
2025-06-07 07:44
2.7K
perl-rxperl-anyevent-6.8.1-r0.apk
2024-10-25 20:12
2.7K
perl-time-moment-role-strptime-0.001-r0.apk
2024-10-25 20:12
2.7K
rsstail-doc-2.2-r0.apk
2025-02-13 07:17
2.8K
apulse-doc-0.1.13-r2.apk
2024-10-25 20:10
2.8K
barnyard2-openrc-2.1.14_git20160413-r1.apk
2024-10-25 20:10
2.8K
timew-bash-completion-1.4.3-r1.apk
2024-10-25 20:12
2.8K
lfm-doc-3.1-r4.apk
2024-10-25 20:11
2.8K
py3-utc-pyc-0.0.3-r9.apk
2024-10-25 20:12
2.8K
perl-data-binary-0.01-r0.apk
2025-07-10 02:27
2.8K
prosody-mod-server_status-0.11_hg20201208-r0.apk
2024-10-25 20:12
2.8K
raku-base64-doc-0.1.0-r0.apk
2025-06-07 07:44
2.8K
kirc-doc-0.3.3-r0.apk
2025-02-22 11:09
2.8K
gatling-openrc-0.16-r6.apk
2024-10-25 20:11
2.8K
raku-json-name-doc-0.0.7-r0.apk
2025-06-07 07:44
2.8K
dfu-programmer-bash-completion-1.1.0-r0.apk
2024-10-25 20:11
2.8K
nvim-gruvbox-doc-0.0.0_git20221212-r1.apk
2024-10-25 20:11
2.8K
py3-pytap2-doc-2.3.0-r0.apk
2024-10-25 20:12
2.8K
raku-digest-doc-1.1.0-r0.apk
2025-06-07 07:44
2.8K
perl-moosex-relatedclassroles-0.004-r0.apk
2025-05-01 06:39
2.8K
nb-fish-completion-7.19.1-r0.apk
2025-05-24 22:11
2.8K
py3-pytest-home-pyc-0.6.0-r0.apk
2024-10-25 20:12
2.8K
f_scripts-f_youtube-0.6-r1.apk
2024-10-25 20:11
2.8K
mangr0ve-0.1.2-r0.apk
2024-10-25 20:11
2.8K
perl-plack-test-externalserver-0.02-r0.apk
2025-03-19 11:46
2.8K
chim-doc-1.1.2-r1.apk
2024-10-25 20:11
2.8K
prosody-mod-auth_ldap-0.11_hg20201208-r0.apk
2024-10-25 20:12
2.8K
logtop-doc-0.7-r0.apk
2024-10-25 20:11
2.8K
tremc-doc-0.9.4-r0.apk
2025-07-16 04:10
2.8K
py3-flask-gzip-pyc-0.2-r8.apk
2024-10-25 20:12
2.8K
opensm-openrc-3.3.24-r2.apk
2024-10-25 20:12
2.8K
perl-rxperl-ioasync-6.9.1-r0.apk
2024-10-25 20:12
2.8K
prosody-mod-host_guard-0.11_hg20201208-r0.apk
2024-10-25 20:12
2.8K
reredirect-doc-0.3-r0.apk
2024-10-25 20:12
2.8K
mml-zsh-completion-1.0.0-r0.apk
2024-10-25 20:11
2.8K
perl-rxperl-mojo-6.8.2-r0.apk
2024-10-25 20:12
2.8K
py3-timeago-doc-1.0.16-r0.apk
2024-10-25 20:12
2.8K
coccinelle-bash-completion-1.1.1-r2.apk
2024-10-25 20:11
2.9K
hunspell-fr-doc-7.0-r0.apk
2025-06-13 15:08
2.9K
66-tools-nsrules-0.1.2.0-r0.apk
2025-06-01 16:07
2.9K
materia-gtk-theme-20210322-r3.apk
2025-07-10 16:38
2.9K
raku-io-path-childsecure-doc-1.2-r0.apk
2025-06-07 07:44
2.9K
dublin-traceroute-contrib-0.4.2-r4.apk
2024-10-25 20:11
2.9K
zsh-manydots-magic-0_git20230607-r1.apk
2024-10-25 20:13
2.9K
sacc-doc-1.07-r0.apk
2024-10-25 20:12
2.9K
lomiri-location-service-doc-3.3.0-r4.apk
2025-06-26 14:59
2.9K
subtitleeditor-doc-0.54.0-r3.apk
2024-10-25 20:12
2.9K
pounce-openrc-3.1-r3.apk
2024-10-25 20:12
2.9K
py3-pytaglib-pyc-3.0.0-r0.apk
2025-01-26 19:50
2.9K
git-extras-bash-completion-7.4.0-r0.apk
2025-07-22 20:51
2.9K
git-quick-stats-doc-2.5.8-r0.apk
2024-10-25 20:11
2.9K
createrepo_c-bash-completion-1.1.4-r0.apk
2024-10-25 20:11
2.9K
pxmenu-1.0.0-r1.apk
2024-10-25 20:12
2.9K
desed-doc-1.2.1-r1.apk
2024-10-25 20:11
2.9K
pxalarm-3.0.0-r0.apk
2024-10-25 20:12
2.9K
mpdcron-zsh-completion-0.3-r1.apk
2024-10-25 20:11
2.9K
efibootguard-zsh-completion-0.16-r1.apk
2025-07-20 21:07
2.9K
pamtester-doc-0.1.2-r4.apk
2024-10-25 20:12
2.9K
prosody-mod-http_upload_external-0.11_hg20201208-r0.apk
2024-10-25 20:12
2.9K
f_scripts-f_web-0.6-r1.apk
2024-10-25 20:11
2.9K
steamguard-cli-bash-completion-0.17.1-r0.apk
2025-07-10 17:35
2.9K
raku-oo-monitors-doc-1.1.5-r0.apk
2025-06-07 07:44
2.9K
nkk-dev-0_git20221010-r0.apk
2024-10-25 20:11
2.9K
restic.mk-0.4.0-r0.apk
2024-10-25 20:12
2.9K
libvisio2svg-dev-0.5.5-r3.apk
2024-10-25 20:11
2.9K
raku-clifford-doc-7.0.1_git20250228-r0.apk
2025-07-21 08:40
2.9K
f_scripts-f_files-0.6-r1.apk
2024-10-25 20:11
2.9K
vcsh-bash-completion-2.0.5-r0.apk
2024-10-25 20:13
2.9K
vcsh-zsh-completion-2.0.5-r0.apk
2024-10-25 20:13
2.9K
gummiboot-doc-48.1-r11.apk
2025-07-20 21:07
2.9K
remake-dev-1.5-r1.apk
2024-10-25 20:12
2.9K
makedumpfile-openrc-1.7.7-r0.apk
2025-04-22 14:39
2.9K
wl-clipboard-x11-doc-5-r3.apk
2024-10-25 20:13
2.9K
rankwidth-dev-0.9-r3.apk
2024-10-25 20:12
2.9K
nb-zsh-completion-7.19.1-r0.apk
2025-05-24 22:11
2.9K
perl-task-catalyst-4.02-r0.apk
2025-06-15 04:48
3.0K
rkdeveloptool-doc-1.1.0-r1.apk
2024-10-25 20:12
3.0K
rke-doc-1.4.3-r16.apk
2025-07-10 02:27
3.0K
raku-datetime-parse-doc-0.9.3-r0.apk
2025-06-07 07:44
3.0K
perl-promise-es6-io-async-0.28-r0.apk
2024-10-25 20:12
3.0K
libabigail-bash-completion-2.3-r0.apk
2024-10-25 20:11
3.0K
perl-cache-lru-0.04-r0.apk
2024-10-25 20:12
3.0K
lowjs-doc-1.6.2-r2.apk
2024-10-25 20:11
3.0K
gtklock-doc-4.0.0-r0.apk
2025-01-31 16:16
3.0K
perl-regexp-trie-0.02-r0.apk
2025-07-12 07:22
3.0K
isomd5sum-doc-1.2.5-r0.apk
2025-07-15 08:02
3.0K
perl-net-curl-promiser-ioasync-0.20-r0.apk
2024-10-25 20:12
3.0K
lynis-bash-completion-3.1.1-r0.apk
2024-10-25 20:11
3.0K
nb-bash-completion-7.19.1-r0.apk
2025-05-24 22:11
3.0K
p910nd-doc-0.97-r2.apk
2024-10-25 20:12
3.0K
pokoy-doc-0.2.5-r0.apk
2024-10-25 20:12
3.0K
hatop-doc-0.8.2-r0.apk
2024-10-25 20:11
3.0K
usbmuxd-doc-1.1.1-r9.apk
2025-04-18 14:39
3.0K
bchunk-doc-1.2.2-r3.apk
2024-10-25 20:10
3.0K
f_scripts-f_networks-0.6-r1.apk
2024-10-25 20:11
3.0K
perl-cairo-gobject-doc-1.005-r5.apk
2025-07-01 19:19
3.0K
pipectl-doc-0.4.1-r1.apk
2024-10-25 20:12
3.0K
sfizz-doc-1.2.3-r0.apk
2025-05-16 20:14
3.0K
geonames-dev-0.3.1-r2.apk
2024-10-25 20:11
3.0K
tailspin-doc-5.4.2-r0.apk
2025-05-14 09:45
3.0K
libctl-doc-4.5.1-r1.apk
2024-10-25 20:11
3.0K
satellite-doc-1.0.0-r29.apk
2025-07-10 02:27
3.0K
opendht-doc-3.1.11-r0.apk
2025-01-27 20:56
3.0K
ansiweather-doc-1.19.0-r1.apk
2024-10-25 20:10
3.0K
rankwidth-doc-0.9-r3.apk
2024-10-25 20:12
3.0K
perl-lingua-stem-snowball-da-doc-1.01-r0.apk
2025-06-08 16:33
3.0K
way-secure-doc-0.2.0-r0.apk
2025-04-18 22:10
3.0K
faultstat-doc-0.01.11-r0.apk
2024-10-25 20:11
3.0K
ouch-fish-completion-0.6.1-r0.apk
2025-05-28 07:39
3.0K
perl-text-german-doc-0.06-r0.apk
2025-06-07 02:00
3.0K
llmnrd-doc-0.7-r1.apk
2024-10-25 20:11
3.0K
perl-template-plugin-csv-doc-0.04-r3.apk
2024-10-25 20:12
3.0K
hwatch-doc-0.3.11-r0.apk
2024-10-25 20:11
3.0K
py3-compdb-doc-0.2.0-r8.apk
2024-10-25 20:12
3.0K
cocogitto-bash-completion-6.3.0-r0.apk
2025-03-21 19:28
3.0K
perl-moosex-types-stringlike-0.003-r0.apk
2025-03-15 14:08
3.0K
cocogitto-zsh-completion-6.3.0-r0.apk
2025-03-21 19:28
3.0K
perl-dbix-class-cursor-cached-doc-1.001004-r0.apk
2025-06-09 16:28
3.0K
apmpkg-doc-1.5.1-r3.apk
2024-10-25 20:10
3.1K
py3-cchardet-pyc-2.1.7-r5.apk
2024-10-25 20:12
3.1K
qgis-doc-3.40.8-r1.apk
2025-07-04 22:17
3.1K
perl-lingua-en-number-isordinal-0.05-r0.apk
2025-06-08 16:33
3.1K
perl-plack-middleware-reverseproxy-doc-0.16-r2.apk
2024-10-25 20:12
3.1K
mkg3a-doc-0.5.0-r1.apk
2024-10-25 20:11
3.1K
ocp-index-vim-1.3.6-r0.apk
2024-10-25 20:12
3.1K
sedutil-doc-1.15.1-r1.apk
2024-10-25 20:12
3.1K
perl-plack-middleware-fixmissingbodyinredirect-doc-0.12-r0.apk
2024-12-26 09:36
3.1K
perl-linux-pid-doc-0.04-r15.apk
2025-07-01 19:19
3.1K
perl-class-unload-doc-0.11-r0.apk
2025-06-04 17:22
3.1K
snore-doc-0.3.1-r0.apk
2024-10-25 20:12
3.1K
py3-log-symbols-pyc-0.0.14-r5.apk
2024-10-25 20:12
3.1K
rpi-imager-doc-1.9.0-r0.apk
2024-10-25 20:12
3.1K
csmith-doc-2.3.0-r2.apk
2024-10-25 20:11
3.1K
spacer-doc-0.3.9-r0.apk
2025-05-26 13:06
3.1K
xcape-doc-1.2-r1.apk
2025-05-14 18:17
3.1K
perl-catalystx-profile-0.02-r0.apk
2025-06-15 04:48
3.1K
ruby-event_emitter-0.2.6-r0.apk
2025-05-26 00:00
3.1K
vkbasalt-doc-0.3.2.10-r0.apk
2024-10-25 20:13
3.1K
perl-plack-middleware-removeredundantbody-doc-0.09-r0.apk
2024-12-27 14:02
3.1K
volatility3-doc-2.11.0-r0.apk
2025-02-13 07:45
3.1K
wf-shell-doc-0.9.0-r0.apk
2025-02-27 20:18
3.1K
perl-plack-middleware-fixmissingbodyinredirect-0.12-r0.apk
2024-12-26 09:36
3.1K
perl-data-binary-doc-0.01-r0.apk
2025-07-10 02:27
3.1K
perl-http-thin-0.006-r0.apk
2024-10-25 20:12
3.1K
raku-terminal-quickcharts-doc-0.0.2-r0.apk
2025-06-08 16:33
3.1K
luksmeta-dev-9-r0.apk
2024-10-25 20:11
3.1K
prosody-mod-bookmarks-0.11_hg20201208-r0.apk
2024-10-25 20:12
3.1K
snapper-bash-completion-0.12.2-r0.apk
2025-05-06 12:27
3.1K
sympow-doc-2.023.7-r2.apk
2025-05-02 14:17
3.1K
memdump-doc-1.01-r1.apk
2024-10-25 20:11
3.1K
oniux-doc-0.5.0-r0.apk
2025-05-25 15:34
3.1K
perl-net-curl-promiser-mojo-0.20-r0.apk
2024-10-25 20:12
3.1K
perl-perlio-locale-doc-0.10-r13.apk
2025-07-01 19:19
3.1K
kbs2-bash-completion-0.7.2-r3.apk
2024-10-25 20:11
3.1K
tty-clock-doc-2.3_git20240104-r0.apk
2024-10-25 20:13
3.1K
lotide-openrc-0.15.0-r0.apk
2024-10-25 20:11
3.1K
ouch-zsh-completion-0.6.1-r0.apk
2025-05-28 07:39
3.1K
dwl-doc-0.7-r0.apk
2024-10-25 20:11
3.1K
harminv-dev-1.4.2-r1.apk
2024-10-25 20:11
3.1K
perl-json-maybeutf8-2.000-r0.apk
2024-10-25 20:12
3.1K
py3-bottle-redis-pyc-0.2.3-r6.apk
2024-10-25 20:12
3.1K
perl-plack-test-externalserver-doc-0.02-r0.apk
2025-03-19 11:46
3.1K
perl-hash-merge-extra-0.06-r0.apk
2025-06-20 15:18
3.1K
persistent-cache-cpp-doc-1.0.7-r4.apk
2025-02-17 09:34
3.1K
py3-bottle-websocket-pyc-0.2.9-r8.apk
2024-10-25 20:12
3.1K
beard-0.4-r0.apk
2024-10-25 20:10
3.1K
laze-bash-completion-0.1.38-r0.apk
2025-07-20 20:22
3.1K
py3-sphinxcontrib-manpage-pyc-0.6-r8.apk
2024-10-25 20:12
3.1K
perl-datetime-format-atom-1.8.0-r0.apk
2025-01-05 15:08
3.1K
perl-multidimensional-doc-0.014-r1.apk
2025-07-01 19:19
3.2K
ptylie-doc-0.2-r2.apk
2025-05-12 21:41
3.2K
caffeine-ng-doc-4.2.0-r1.apk
2024-10-25 20:11
3.2K
timeshift-doc-24.06.6-r0.apk
2025-04-23 22:52
3.2K
perl-moox-typetiny-doc-0.002003-r0.apk
2025-06-09 12:05
3.2K
perl-plack-middleware-reverseproxy-0.16-r2.apk
2024-10-25 20:12
3.2K
perl-tie-toobject-doc-0.03-r0.apk
2025-03-19 11:46
3.2K
jalv-doc-1.6.8-r1.apk
2024-10-25 20:11
3.2K
today-6.2.1-r0.apk
2025-06-17 15:29
3.2K
trippy-bash-completion-0.13.0-r0.apk
2025-05-18 23:22
3.2K
py3-flask-gzip-0.2-r8.apk
2024-10-25 20:12
3.2K
perl-uri-redis-0.02-r0.apk
2024-10-25 20:12
3.2K
glow-doc-2.1.1-r1.apk
2025-07-10 02:27
3.2K
perl-string-camelcase-0.04-r2.apk
2024-10-25 20:12
3.2K
xf86-video-vboxvideo-doc-1.0.0-r1.apk
2024-10-25 20:13
3.2K
libdng-dev-0.2.1-r0.apk
2024-12-27 22:10
3.2K
witchery-0.0.3-r2.apk
2024-10-25 20:13
3.2K
openocd-esp32-doc-0_git20250422-r1.apk
2025-07-05 19:52
3.2K
perl-lingua-en-inflect-number-1.12-r0.apk
2025-06-08 16:33
3.2K
nuzzle-doc-1.6-r0.apk
2025-01-17 14:21
3.2K
xload-doc-1.1.4-r0.apk
2024-10-25 20:13
3.2K
py3-flask-headers-1.0-r9.apk
2024-10-25 20:12
3.2K
perl-math-libm-doc-1.00-r15.apk
2025-07-01 19:19
3.2K
py3-bottle-request-0.2.0-r9.apk
2024-10-25 20:12
3.2K
perl-dbix-class-cursor-cached-1.001004-r0.apk
2025-06-09 16:28
3.2K
wl-screenrec-fish-completion-0.1.7-r0.apk
2025-04-12 21:20
3.2K
perl-cache-lru-doc-0.04-r0.apk
2024-10-25 20:12
3.2K
perl-moosex-types-loadableclass-0.016-r0.apk
2025-04-30 16:21
3.2K
raku-meta6-doc-0.0.30-r0.apk
2025-06-07 07:44
3.2K
raku-http-hpack-doc-1.0.3-r0.apk
2025-06-07 07:44
3.2K
kbs2-fish-completion-0.7.2-r3.apk
2024-10-25 20:11
3.2K
lxappearance-dev-0.6.3-r3.apk
2024-10-25 20:11
3.2K
perl-bareword-filehandles-doc-0.007-r1.apk
2025-07-01 19:19
3.2K
nitrocli-bash-completion-0.4.1-r3.apk
2024-10-25 20:11
3.2K
tmpmail-doc-1.2.3-r2.apk
2024-10-25 20:12
3.2K
perl-flowd-doc-0.9.1-r11.apk
2025-07-01 19:19
3.3K
perl-lingua-en-findnumber-1.32-r0.apk
2025-06-08 16:33
3.3K
zita-resampler-dev-1.11.2-r0.apk
2025-04-14 21:16
3.3K
py3-hurry.filesize-pyc-0.9-r8.apk
2024-10-25 20:12
3.3K
otree-doc-0.4.0-r0.apk
2025-07-11 08:46
3.3K
py3-allfiles-pyc-1.0-r8.apk
2024-10-25 20:12
3.3K
prosody-mod-conversejs-0.11_hg20201208-r0.apk
2024-10-25 20:12
3.3K
openocd-riscv-udev-rules-0_git20230104-r2.apk
2024-10-25 20:12
3.3K
perl-variable-disposition-0.005-r0.apk
2024-10-25 20:12
3.3K
fastd-doc-23-r0.apk
2025-01-27 21:33
3.3K
fatrace-doc-0.17.0-r0.apk
2024-10-25 20:11
3.3K
greetd-mini-wl-greeter-doc-0_git20230821-r0.apk
2024-10-25 20:11
3.3K
hyfetch-bash-completion-1.99.0-r1.apk
2024-10-25 20:11
3.3K
initify-0_git20171210-r1.apk
2024-10-25 20:11
3.3K
perl-digest-crc-doc-0.24-r2.apk
2025-07-01 19:19
3.3K
wlclock-doc-1.0.1-r0.apk
2024-10-25 20:13
3.3K
openocd-riscv-doc-0_git20230104-r2.apk
2024-10-25 20:12
3.3K
perl-catalyst-component-instancepercontext-doc-0.001001-r1.apk
2025-06-19 10:55
3.3K
openocd-git-doc-0_git20240113-r1.apk
2024-10-25 20:12
3.3K
prjtrellis-db-0_git20230929-r0.apk
2024-10-25 20:12
3.3K
hub-fish-completion-2.14.2-r32.apk
2025-07-10 02:27
3.3K
raku-digest-hmac-doc-1.0.6_git20231116-r0.apk
2025-06-07 07:44
3.3K
xiccd-doc-0.3.0_git20211219-r1.apk
2024-10-25 20:13
3.3K
today-doc-6.2.1-r0.apk
2025-06-17 15:29
3.3K
perl-catalystx-repl-0.04-r0.apk
2025-04-27 15:07
3.3K
py3-bottle-redis-0.2.3-r6.apk
2024-10-25 20:12
3.3K
py3-flask-components-pyc-0.1.1-r9.apk
2024-10-25 20:12
3.3K
toml2json-doc-1.3.1-r0.apk
2024-10-25 20:12
3.3K
libideviceactivation-dev-1.1.1-r5.apk
2024-10-30 22:44
3.3K
lomiri-url-dispatcher-dev-0.1.4-r0.apk
2025-05-09 17:35
3.3K
click-doc-0.5.2-r4.apk
2025-02-17 09:34
3.3K
perl-regexp-trie-doc-0.02-r0.apk
2025-07-12 07:22
3.3K
sqlar-doc-0_git20180107-r1.apk
2024-10-25 20:12
3.3K
nvim-cmp-cmdline-0.0.0_git20220902-r1.apk
2024-10-25 20:11
3.3K
ccze-dev-0.2.1-r1.apk
2024-10-25 20:11
3.3K
raku-json-unmarshal-doc-0.18-r0.apk
2025-06-07 07:44
3.3K
raku-json-class-doc-0.0.21-r0.apk
2025-06-07 07:44
3.3K
pick-doc-4.0.0-r0.apk
2024-10-25 20:12
3.3K
cocogitto-fish-completion-6.3.0-r0.apk
2025-03-21 19:28
3.3K
perl-array-diff-0.09-r0.apk
2025-07-10 02:27
3.3K
perl-protocol-redis-faster-doc-0.003-r0.apk
2024-10-25 20:12
3.3K
py3-anyascii-pyc-0.3.2-r1.apk
2024-10-25 20:12
3.3K
netscanner-doc-0.5.1-r1.apk
2024-10-25 20:11
3.3K
octoprint-creality2xfix-pyc-0.0.4-r2.apk
2024-10-25 20:12
3.3K
pasystray-doc-0.8.2-r0.apk
2024-10-25 20:12
3.3K
py3-sphinxcontrib-gist-pyc-0.1.0-r9.apk
2024-10-25 20:12
3.3K
compiz-utils-0.9.14.2-r11.apk
2025-06-12 14:06
3.3K
f_scripts-f_audio-0.6-r1.apk
2024-10-25 20:11
3.3K
py3-flake8-copyright-pyc-0.2.4-r3.apk
2024-10-25 20:12
3.3K
perl-future-asyncawait-hooks-doc-0.02-r1.apk
2025-07-01 19:19
3.3K
ssss-doc-0.5.7-r0.apk
2024-10-25 20:12
3.3K
perl-moosex-relatedclassroles-doc-0.004-r0.apk
2025-05-01 06:39
3.3K
perl-devel-leak-doc-0.03-r14.apk
2025-07-01 19:19
3.3K
trace-cmd-bash-completion-3.3.1-r1.apk
2025-01-19 11:55
3.3K
solarus-engine-doc-1.7.0-r2.apk
2025-07-20 19:30
3.3K
perl-plack-middleware-expires-doc-0.06-r3.apk
2024-10-25 20:12
3.4K
rezolus-doc-2.11.1-r3.apk
2024-10-25 20:12
3.4K
perl-devel-trace-0.12-r0.apk
2025-07-06 19:08
3.4K
httpie-oauth-1.0.2-r9.apk
2024-10-25 20:11
3.4K
noice-doc-0.8-r1.apk
2024-10-25 20:11
3.4K
perl-time-moment-role-strptime-doc-0.001-r0.apk
2024-10-25 20:12
3.4K
perl-hash-merge-extra-doc-0.06-r0.apk
2025-06-20 15:18
3.4K
perl-catalystx-leakchecker-0.06-r0.apk
2025-06-15 04:48
3.4K
lua-fn-0.1.0-r0.apk
2024-10-25 20:11
3.4K
raku-monad-doc-0.1.2-r0.apk
2025-07-21 08:40
3.4K
py3-ovos-ocp-m3u-plugin-pyc-0.0.2-r0.apk
2024-10-25 20:12
3.4K
pixiewps-doc-1.4.2-r2.apk
2025-05-12 21:41
3.4K
raku-time-crontab-doc-1.0.0-r0.apk
2025-07-21 08:40
3.4K
perl-tickit-widget-choice-doc-0.07-r0.apk
2024-10-25 20:12
3.4K
openocd-git-dev-0_git20240113-r1.apk
2024-10-25 20:12
3.4K
openocd-git-udev-rules-0_git20240113-r1.apk
2024-10-25 20:12
3.4K
perl-catalystx-injectcomponent-0.025-r0.apk
2025-05-01 06:39
3.4K
wl-clipboard-x11-5-r3.apk
2024-10-25 20:13
3.4K
lzfse-dev-1.0-r0.apk
2024-10-25 20:11
3.4K
aptdec-dev-1.8.0-r1.apk
2025-02-08 23:44
3.4K
perl-devel-stacktrace-withlexicals-doc-2.01-r0.apk
2025-04-26 10:21
3.4K
perl-template-timer-1.00-r0.apk
2025-04-12 15:51
3.4K
perl-ppi-xs-doc-0.910-r2.apk
2025-07-01 19:19
3.4K
perl-scalar-readonly-doc-0.03-r2.apk
2025-07-01 19:19
3.4K
perl-protocol-redis-faster-0.003-r0.apk
2024-10-25 20:12
3.4K
perl-string-crc32-doc-2.100-r5.apk
2025-07-01 19:19
3.4K
py3-flask-json-schema-pyc-0.0.5-r4.apk
2024-10-25 20:12
3.4K
laze-fish-completion-0.1.38-r0.apk
2025-07-20 20:22
3.4K
perl-module-build-prereqs-fromcpanfile-0.02-r0.apk
2024-10-25 20:12
3.4K
perl-lingua-en-number-isordinal-doc-0.05-r0.apk
2025-06-08 16:33
3.4K
perl-http-thin-doc-0.006-r0.apk
2024-10-25 20:12
3.5K
perl-net-address-ip-local-0.1.2-r0.apk
2024-10-25 20:12
3.5K
perl-text-simpletable-doc-2.07-r0.apk
2025-03-19 11:46
3.5K
dfl-login1-dev-0.2.0-r0.apk
2024-10-25 20:11
3.5K
bcg729-dev-1.1.1-r0.apk
2024-10-25 20:10
3.5K
perl-string-camelcase-doc-0.04-r2.apk
2024-10-25 20:12
3.5K
perl-test-expect-0.34-r0.apk
2025-04-21 17:35
3.5K
libuninameslist-dev-20230916-r0.apk
2024-10-25 20:11
3.5K
perl-ref-util-xs-doc-0.117-r9.apk
2025-07-01 19:19
3.5K
gsettings-qt-dev-1.0.0-r0.apk
2025-03-14 06:19
3.5K
py3-utc-0.0.3-r9.apk
2024-10-25 20:12
3.5K
perl-devel-trace-doc-0.12-r0.apk
2025-07-06 19:08
3.5K
nvim-cmp-lsp-0.0.0_git20220516-r1.apk
2024-10-25 20:11
3.5K
openocd-esp32-udev-rules-0_git20250422-r1.apk
2025-07-05 19:52
3.5K
perl-lingua-en-findnumber-doc-1.32-r0.apk
2025-06-08 16:33
3.5K
py3-sphinxcontrib-fulltoc-pyc-1.2.0-r8.apk
2024-10-25 20:12
3.5K
copyq-doc-10.0.0-r0.apk
2025-06-25 13:53
3.5K
twinkle-doc-1.10.3-r3.apk
2025-01-04 21:55
3.5K
logc-libevent-0.1.0-r0.apk
2024-10-25 20:11
3.5K
perl-net-address-ip-local-doc-0.1.2-r0.apk
2024-10-25 20:12
3.5K
tldr-python-client-doc-3.3.0-r0.apk
2024-12-01 17:09
3.5K
raku-text-markdown-doc-1.1.1_git20221121-r0.apk
2025-07-21 08:40
3.5K
enlighten-doc-0.9.2-r1.apk
2024-10-25 20:11
3.5K
hurl-fish-completion-6.1.1-r0.apk
2025-05-03 17:29
3.5K
perl-catalystx-leakchecker-doc-0.06-r0.apk
2025-06-15 04:48
3.5K
ijq-doc-1.1.0-r9.apk
2025-07-10 02:27
3.5K
extrace-doc-0.9-r0.apk
2024-10-25 20:11
3.5K
perl-lingua-en-words2nums-doc-0.18-r0.apk
2025-06-07 14:55
3.5K
shellinabox-openrc-2.21-r3.apk
2024-10-25 20:12
3.5K
nvim-cmp-luasnip-0.0.0_git20220501-r1.apk
2024-10-25 20:11
3.5K
rgxg-dev-0.1.2-r2.apk
2024-10-25 20:12
3.5K
perl-test-randomresult-0.001-r0.apk
2024-10-25 20:12
3.5K
kabmat-doc-2.7.0-r0.apk
2024-10-25 20:11
3.5K
nvtop-doc-3.2.0-r0.apk
2025-04-29 23:39
3.5K
kew-doc-3.4.0-r0.apk
2025-07-21 06:41
3.5K
raku-shell-command-doc-1.1-r0.apk
2025-06-08 16:33
3.5K
perl-lingua-stem-it-doc-0.02-r0.apk
2025-06-06 14:57
3.5K
perl-proc-guard-doc-0.07-r4.apk
2024-10-25 20:12
3.5K
fildesh-vim-0.2.0-r0.apk
2024-10-25 20:11
3.5K
uclient-dev-20241022-r0.apk
2025-05-29 22:00
3.5K
perl-moox-typetiny-0.002003-r0.apk
2025-06-09 12:05
3.5K
perl-lingua-en-inflect-number-doc-1.12-r0.apk
2025-06-08 16:33
3.6K
perl-json-maybeutf8-doc-2.000-r0.apk
2024-10-25 20:12
3.6K
perl-time-moment-role-timezone-1.000-r0.apk
2024-10-25 20:12
3.6K
py3-allfiles-1.0-r8.apk
2024-10-25 20:12
3.6K
cutechess-doc-1.3.1-r0.apk
2024-10-25 20:11
3.6K
snapper-zsh-completion-0.12.2-r0.apk
2025-05-06 12:27
3.6K
efibootguard-bash-completion-0.16-r1.apk
2025-07-20 21:07
3.6K
perl-test-expect-doc-0.34-r0.apk
2025-04-21 17:35
3.6K
ffsend-fish-completion-0.2.76-r4.apk
2024-10-25 20:11
3.6K
tuned-profiles-compat-2.25.1-r2.apk
2025-05-28 10:42
3.6K
doasedit-1.0.7-r0.apk
2024-10-25 20:11
3.6K
perl-moosex-types-stringlike-doc-0.003-r0.apk
2025-03-15 14:08
3.6K
perl-catalystx-injectcomponent-doc-0.025-r0.apk
2025-05-01 06:39
3.6K
perl-test-describeme-0.004-r0.apk
2024-10-25 20:12
3.6K
py3-flake8-todo-0.7-r7.apk
2024-10-25 20:12
3.6K
pacparser-dev-1.4.5-r1.apk
2024-10-25 20:12
3.6K
laze-doc-0.1.38-r0.apk
2025-07-20 20:22
3.6K
raku-file-which-doc-1.0.4-r0.apk
2025-06-08 16:33
3.6K
ocaml-qtest-dev-2.11.2-r3.apk
2024-10-25 20:12
3.6K
dfl-ipc-dev-0.2.0-r0.apk
2024-10-25 20:11
3.6K
ircd-hybrid-doc-8.2.47-r0.apk
2025-04-05 04:01
3.6K
perl-check-unitcheck-doc-0.13-r2.apk
2025-07-01 19:19
3.6K
ffsend-bash-completion-0.2.76-r4.apk
2024-10-25 20:11
3.6K
lua-resty-upload-0.11-r0.apk
2024-10-25 20:11
3.6K
opkg-utils-doc-0.7.0-r0.apk
2024-10-25 20:12
3.6K
rattler-build-bash-completion-0.18.0-r0.apk
2024-10-25 20:12
3.6K
perl-catalystx-repl-doc-0.04-r0.apk
2025-04-27 15:07
3.6K
perl-bind-config-parser-doc-0.01-r5.apk
2024-10-25 20:12
3.6K
py3-ecos-pyc-2.0.11-r4.apk
2024-10-25 20:12
3.6K
perl-plack-middleware-methodoverride-0.20-r0.apk
2025-03-19 11:46
3.6K
wayfire-doc-0.9.0-r0.apk
2025-02-27 20:18
3.6K
swappy-lang-1.5.1-r0.apk
2024-10-25 20:12
3.6K
py3-pydes-doc-2.0.1-r5.apk
2024-10-25 20:12
3.6K
perl-catalyst-plugin-session-store-file-0.18-r0.apk
2025-06-14 02:46
3.6K
otpclient-doc-4.1.1-r0.apk
2025-07-22 22:44
3.6K
screen-message-doc-0.29-r0.apk
2025-04-13 11:54
3.7K
wl-screenrec-zsh-completion-0.1.7-r0.apk
2025-04-12 21:20
3.7K
perl-template-timer-doc-1.00-r0.apk
2025-04-12 15:51
3.7K
perl-devel-stacktrace-withlexicals-2.01-r0.apk
2025-04-26 10:21
3.7K
openocd-esp32-dev-0_git20250422-r1.apk
2025-07-05 19:52
3.7K
pulseview-doc-0.4.2-r8.apk
2024-10-25 20:12
3.7K
flamelens-doc-0.3.1-r0.apk
2025-04-29 07:46
3.7K
libjodycode-doc-3.1.1-r0.apk
2024-10-25 20:11
3.7K
wsmancli-doc-2.8.0-r0.apk
2025-07-14 09:41
3.7K
raku-json-marshal-doc-0.0.25-r0.apk
2025-06-07 07:44
3.7K
wok-doc-3.0.0-r6.apk
2024-10-25 20:13
3.7K
perl-role-eventemitter-0.003-r0.apk
2024-10-25 20:12
3.7K
py3-flake8-snippets-pyc-0.2-r8.apk
2024-10-25 20:12
3.7K
tuned-ppd-2.25.1-r2.apk
2025-05-28 10:42
3.7K
perl-object-signature-1.08-r0.apk
2025-04-04 11:57
3.7K
laze-zsh-completion-0.1.38-r0.apk
2025-07-20 20:22
3.7K
perl-test-randomresult-doc-0.001-r0.apk
2024-10-25 20:12
3.7K
py3-flask-accept-pyc-0.0.7-r0.apk
2025-07-12 15:29
3.7K
perl-task-catalyst-doc-4.02-r0.apk
2025-06-15 04:48
3.7K
pebble-le-doc-0.3.0-r2.apk
2024-12-14 19:46
3.7K
winetricks-doc-20250102-r0.apk
2025-02-05 22:20
3.7K
perl-sys-syscall-doc-0.25-r10.apk
2024-10-25 20:12
3.7K
gnome-mimeapps-0.1-r0.apk
2025-05-26 20:57
3.7K
perl-archive-any-lite-doc-0.11-r0.apk
2025-07-11 08:46
3.7K
perl-proc-guard-0.07-r4.apk
2024-10-25 20:12
3.7K
rdrview-doc-0.1.3-r0.apk
2025-02-22 19:31
3.7K
swappy-doc-1.5.1-r0.apk
2024-10-25 20:12
3.7K
dropwatch-doc-1.5.5-r0.apk
2025-06-25 13:38
3.7K
hub-zsh-completion-2.14.2-r32.apk
2025-07-10 02:27
3.7K
fzy-doc-1.1-r0.apk
2025-07-12 14:05
3.7K
prosody-mod-pastebin-0.11_hg20201208-r0.apk
2024-10-25 20:12
3.7K
ticker-zsh-completion-4.8.1-r3.apk
2025-07-10 02:27
3.7K
openocd-riscv-dev-0_git20230104-r2.apk
2024-10-25 20:12
3.7K
py3-cookiecutter-doc-2.6.0-r1.apk
2024-10-25 20:12
3.7K
ocp-indent-emacs-1.8.2-r2.apk
2024-10-25 20:12
3.7K
py3-pycolorterm-pyc-0.2.1-r6.apk
2024-10-25 20:12
3.7K
hexdiff-doc-0.0.53-r3.apk
2025-05-25 07:51
3.7K
authenticator-rs-lang-0.7.5-r0.apk
2024-10-25 20:10
3.7K
py3-bottle-renderer-pyc-0.1.1-r9.apk
2024-10-25 20:12
3.7K
py3-infinity-pyc-1.5-r6.apk
2024-10-25 20:12
3.7K
perl-feed-find-doc-0.13-r0.apk
2024-10-25 20:12
3.7K
perl-sort-versions-1.62-r0.apk
2024-10-25 20:12
3.7K
tuptime-doc-5.2.4-r1.apk
2024-11-28 22:44
3.7K
perl-lingua-stem-ru-doc-0.04-r0.apk
2025-06-06 14:57
3.7K
rauc-service-1.10.1-r0.apk
2024-10-25 20:12
3.7K
perl-moosex-types-loadableclass-doc-0.016-r0.apk
2025-04-30 16:21
3.7K
pihole-doc-6.2.3-r0.apk
2025-06-20 18:05
3.8K
perl-date-range-1.41-r0.apk
2025-07-08 16:53
3.8K
volumeicon-lang-0.5.1-r1.apk
2024-10-25 20:13
3.8K
perl-datetime-format-atom-doc-1.8.0-r0.apk
2025-01-05 15:08
3.8K
nvim-cmp-path-0.0.0_git20221002-r1.apk
2024-10-25 20:11
3.8K
perl-b-hooks-op-check-doc-0.22-r1.apk
2025-07-01 19:19
3.8K
libsds-dev-2.0.0-r1.apk
2024-10-25 20:11
3.8K
perl-module-build-prereqs-fromcpanfile-doc-0.02-r0.apk
2024-10-25 20:12
3.8K
sct-2018.12.18-r1.apk
2024-10-25 20:12
3.8K
wayback-doc-0_git20250722-r0.apk
2025-07-22 19:09
3.8K
perl-moosex-simpleconfig-0.11-r0.apk
2025-03-16 04:04
3.8K
finger-doc-0.5-r0.apk
2024-10-25 20:11
3.8K
gupnp-doc-1.6.9-r0.apk
2025-06-28 23:47
3.8K
perl-catalyst-action-renderview-0.17-r0.apk
2025-03-19 14:53
3.8K
perl-term-size-doc-0.211-r5.apk
2025-07-01 19:19
3.8K
nwipe-doc-0.38-r0.apk
2025-06-19 17:34
3.8K
perl-test2-tools-explain-0.02-r0.apk
2024-10-25 20:12
3.8K
perl-email-mime-attachment-stripper-doc-1.317-r5.apk
2024-10-25 20:12
3.8K
ouch-doc-0.6.1-r0.apk
2025-05-28 07:39
3.8K
perl-test-useallmodules-0.17-r1.apk
2024-10-25 20:12
3.8K
innernet-bash-completion-1.6.1-r0.apk
2024-10-25 20:11
3.8K
emacs-avy-embark-collect-1.1-r0.apk
2025-04-23 21:27
3.8K
perl-math-random-isaac-xs-doc-1.004-r9.apk
2025-07-01 19:19
3.8K
perl-devel-findperl-doc-0.016-r0.apk
2025-06-08 16:33
3.8K
perl-memoize-expirelru-doc-0.56-r0.apk
2025-06-08 16:33
3.8K
perl-tickit-widget-entry-plugin-completion-doc-0.02-r0.apk
2024-10-25 20:12
3.8K
symlinks-doc-1.4.3-r0.apk
2025-04-21 21:27
3.8K
csol-doc-1.6.0-r0.apk
2024-10-25 20:11
3.8K
foolsm-doc-1.0.21-r0.apk
2024-10-25 20:11
3.9K
perl-feed-find-0.13-r0.apk
2024-10-25 20:12
3.9K
perl-lib-abs-0.95-r0.apk
2024-10-25 20:12
3.9K
py3-flask-markdown-pyc-0.3-r8.apk
2024-10-25 20:12
3.9K
perl-snowball-swedish-doc-1.2-r0.apk
2025-06-08 16:33
3.9K
perl-context-preserve-0.03-r4.apk
2024-10-25 20:12
3.9K
certbot-dns-pdns-pyc-0.1.1-r0.apk
2024-10-25 20:11
3.9K
perl-test-useallmodules-doc-0.17-r1.apk
2024-10-25 20:12
3.9K
mdp-doc-1.0.18-r0.apk
2025-07-10 02:27
3.9K
perl-time-timegm-doc-0.01-r10.apk
2025-07-01 19:19
3.9K
perl-bind-config-parser-0.01-r5.apk
2024-10-25 20:12
3.9K
perl-plack-middleware-expires-0.06-r3.apk
2024-10-25 20:12
3.9K
primecount-doc-7.17-r0.apk
2025-05-16 14:32
3.9K
ticker-fish-completion-4.8.1-r3.apk
2025-07-10 02:27
3.9K
perl-catalyst-plugin-stacktrace-doc-0.12-r0.apk
2025-06-14 02:46
3.9K
perl-array-diff-doc-0.09-r0.apk
2025-07-10 02:27
3.9K
py3-ovos-ocp-rss-plugin-pyc-0.1.1-r0.apk
2025-07-15 20:03
3.9K
perl-snowball-norwegian-doc-1.2-r0.apk
2025-06-08 16:33
3.9K
perl-lingua-en-inflect-phrase-doc-0.20-r0.apk
2025-06-09 12:06
3.9K
perl-uri-nested-doc-0.10-r0.apk
2024-10-25 20:12
3.9K
tncattach-doc-0.1.9-r1.apk
2024-10-25 20:12
3.9K
py3-sphinxcontrib-gist-0.1.0-r9.apk
2024-10-25 20:12
3.9K
mml-doc-1.0.0-r0.apk
2024-10-25 20:11
3.9K
z-doc-1.12-r0.apk
2024-10-25 20:13
3.9K
mangal-fish-completion-4.0.6-r19.apk
2025-07-10 02:27
3.9K
py3-flask-components-0.1.1-r9.apk
2024-10-25 20:12
3.9K
perl-lingua-stem-fr-doc-0.02-r0.apk
2025-06-06 14:57
3.9K
perl-tickit-widget-choice-0.07-r0.apk
2024-10-25 20:12
3.9K
qt-wayland-shell-helpers-dev-0.1.1-r3.apk
2024-10-25 20:12
3.9K
taskwarrior-tui-doc-0.26.3-r0.apk
2025-03-26 10:24
3.9K
libiml-dev-1.0.5-r3.apk
2024-10-25 20:11
3.9K
perl-class-inner-0.200001-r5.apk
2024-10-25 20:12
4.0K
perl-log-message-simple-doc-0.10-r3.apk
2024-10-25 20:12
4.0K
perl-role-eventemitter-doc-0.003-r0.apk
2024-10-25 20:12
4.0K
perl-types-path-tiny-0.006-r0.apk
2024-10-25 20:12
4.0K
perl-lib-abs-doc-0.95-r0.apk
2024-10-25 20:12
4.0K
ovos-skill-hello-world-pyc-0.0.4_alpha3-r1.apk
2024-10-25 20:12
4.0K
perl-email-mime-attachment-stripper-1.317-r5.apk
2024-10-25 20:12
4.0K
welle-io-doc-2.7-r0.apk
2025-04-07 18:03
4.0K
py3-luhn-0.2.0-r9.apk
2024-10-25 20:12
4.0K
perl-anyevent-dns-etchosts-doc-0.0105-r0.apk
2024-10-25 20:12
4.0K
perl-time-moment-role-timezone-doc-1.000-r0.apk
2024-10-25 20:12
4.0K
py3-bottle-renderer-0.1.1-r9.apk
2024-10-25 20:12
4.0K
k3sup-zsh-completion-0.13.6-r6.apk
2025-07-10 02:27
4.0K
hurl-zsh-completion-6.1.1-r0.apk
2025-05-03 17:29
4.0K
perl-catalystx-component-traits-doc-0.19-r0.apk
2025-05-07 08:26
4.0K
kbs2-zsh-completion-0.7.2-r3.apk
2024-10-25 20:11
4.0K
cowsay-doc-3.04-r2.apk
2024-10-25 20:11
4.0K
mangal-zsh-completion-4.0.6-r19.apk
2025-07-10 02:27
4.0K
cargo-machete-doc-0.8.0-r0.apk
2025-05-25 23:26
4.0K
perl-moosex-types-path-tiny-0.012-r0.apk
2025-03-15 14:08
4.0K
perl-catalyst-plugin-session-store-file-doc-0.18-r0.apk
2025-06-14 02:46
4.0K
perl-uri-nested-0.10-r0.apk
2024-10-25 20:12
4.0K
ko-zsh-completion-0.17.1-r6.apk
2025-07-10 02:27
4.0K
nom-doc-2.8.0-r4.apk
2025-07-10 02:27
4.0K
seed7-vim-05.20240322-r0.apk
2024-10-25 20:12
4.0K
perl-file-treecreate-0.0.1-r0.apk
2025-07-11 08:46
4.0K
py3-django-taggit-serializer-0.1.7-r8.apk
2024-10-25 20:12
4.0K
topgit-bash-completion-0.19.13-r1.apk
2024-10-25 20:12
4.0K
otrs-apache2-6.0.48-r2.apk
2024-10-25 20:12
4.0K
knative-client-zsh-completion-1.18.0-r1.apk
2025-07-10 02:27
4.0K
colormake-0.9.20170221-r0.apk
2024-10-25 20:11
4.0K
regal-zsh-completion-0.33.1-r1.apk
2025-07-10 02:27
4.0K
py3-pacparser-pyc-1.4.5-r1.apk
2024-10-25 20:12
4.0K
timoni-zsh-completion-0.23.0-r6.apk
2025-07-10 02:27
4.0K
helmfile-zsh-completion-1.1.2-r1.apk
2025-07-10 02:27
4.0K
kubeone-zsh-completion-1.10.0-r1.apk
2025-07-10 02:27
4.0K
wgcf-zsh-completion-2.2.26-r1.apk
2025-07-10 02:27
4.0K
upterm-zsh-completion-0.14.3-r6.apk
2025-07-10 02:27
4.0K
zita-resampler-doc-1.11.2-r0.apk
2025-04-14 21:16
4.0K
shfm-0.4.2-r1.apk
2024-10-25 20:12
4.0K
tenv-zsh-completion-4.7.6-r1.apk
2025-07-10 02:27
4.0K
virtctl-zsh-completion-1.5.1-r1.apk
2025-07-10 02:27
4.0K
cilium-cli-zsh-completion-0.16.13-r6.apk
2025-07-10 02:26
4.0K
stern-zsh-completion-1.32.0-r5.apk
2025-07-10 02:27
4.0K
admesh-dev-0.98.5-r0.apk
2024-10-25 20:10
4.0K
perl-catalyst-action-renderview-doc-0.17-r0.apk
2025-03-19 14:53
4.0K
glow-zsh-completion-2.1.1-r1.apk
2025-07-10 02:27
4.0K
perl-date-range-doc-1.41-r0.apk
2025-07-08 16:53
4.0K
kubepug-zsh-completion-1.7.1-r11.apk
2025-07-10 02:27
4.0K
perl-plack-middleware-methodoverride-doc-0.20-r0.apk
2025-03-19 11:46
4.0K
mcqd-dev-1.0.0-r1.apk
2024-10-25 20:11
4.0K
hubble-cli-zsh-completion-0.13.6-r6.apk
2025-07-10 02:27
4.0K
virter-zsh-completion-0.29.0-r1.apk
2025-07-10 02:27
4.0K
git-bug-zsh-completion-0.8.1-r2.apk
2025-07-10 02:26
4.1K
cpuburn-1.4a_git20160316-r2.apk
2024-10-25 20:11
4.1K
bomctl-zsh-completion-0.1.9-r7.apk
2025-07-10 02:26
4.1K
py3-logtop-pyc-0.7-r0.apk
2024-10-25 20:12
4.1K
gmenuharness-dev-0.1.4-r2.apk
2025-02-17 09:34
4.1K
sing-box-zsh-completion-1.11.15-r0.apk
2025-07-10 11:56
4.1K
primesieve-doc-12.8-r0.apk
2025-04-29 21:49
4.1K
perl-html-selector-xpath-doc-0.28-r0.apk
2024-10-25 20:12
4.1K
perl-crypt-blowfish-doc-2.14-r1.apk
2025-07-01 19:19
4.1K
py3-flask-basicauth-pyc-0.2.0-r9.apk
2024-10-25 20:12
4.1K
dmenu-wl-doc-0.1-r0.apk
2025-07-02 10:32
4.1K
libirecovery-dev-1.2.1-r0.apk
2024-10-30 22:44
4.1K
py3-flask-cdn-pyc-1.5.3-r8.apk
2024-10-25 20:12
4.1K
perl-i18n-langinfo-wide-doc-9-r4.apk
2024-10-25 20:12
4.1K
perl-moosex-configfromfile-0.14-r0.apk
2025-03-16 04:04
4.1K
py3-sphinxcontrib-bitbucket-pyc-1.0-r8.apk
2024-10-25 20:12
4.1K
perl-future-queue-0.52-r0.apk
2024-10-25 20:12
4.1K
hikari-unlocker-2.3.3-r6.apk
2024-10-25 20:11
4.1K
py3-bottle-werkzeug-0.1.1-r9.apk
2024-10-25 20:12
4.1K
raku-tinyfloats-doc-0.0.5-r0.apk
2025-06-07 07:44
4.1K
ampy-doc-1.1.0-r6.apk
2025-03-19 11:45
4.1K
perl-types-path-tiny-doc-0.006-r0.apk
2024-10-25 20:12
4.1K
py3-flask-json-schema-0.0.5-r4.apk
2024-10-25 20:12
4.1K
megatools-bash-completion-1.11.4.20250411-r0.apk
2025-05-03 17:33
4.1K
pwauth-2.3.11-r2.apk
2024-10-25 20:12
4.1K
py3-ovos-translate-server-plugin-pyc-0.0.0-r0.apk
2024-10-25 20:12
4.1K
agrep-doc-0.8.0-r2.apk
2024-10-25 20:10
4.1K
cgo-doc-0.6.1-r1.apk
2024-10-25 20:11
4.1K
perl-class-inner-doc-0.200001-r5.apk
2024-10-25 20:12
4.1K
perl-moosex-types-path-tiny-doc-0.012-r0.apk
2025-03-15 14:08
4.1K
perl-lingua-stem-ru-0.04-r0.apk
2025-06-06 14:57
4.1K
perl-archive-any-lite-0.11-r0.apk
2025-07-11 08:46
4.1K
perl-catalyst-plugin-i18n-0.10-r0.apk
2025-04-04 08:55
4.1K
logc-czmq-0.1.0-r0.apk
2024-10-25 20:11
4.1K
dfl-applications-dev-0.2.0-r0.apk
2024-10-25 20:11
4.1K
dfl-sni-dev-0.2.0-r0.apk
2024-10-25 20:11
4.1K
mint-y-theme-2.1.1-r0.apk
2024-10-25 20:11
4.1K
perl-datetime-format-rfc3339-doc-1.10.0-r0.apk
2025-01-05 15:08
4.1K
py3-sphinxcontrib-manpage-0.6-r8.apk
2024-10-25 20:12
4.1K
perl-text-brew-doc-0.02-r5.apk
2024-10-25 20:12
4.1K
infnoise-doc-0.3.3-r0.apk
2025-05-26 08:58
4.1K
perl-sort-versions-doc-1.62-r0.apk
2024-10-25 20:12
4.1K
ovn-openrc-24.03.1-r0.apk
2024-10-25 20:12
4.1K
makeclapman-doc-2.4.4-r6.apk
2025-07-10 02:27
4.1K
repgrep-fish-completion-0.15.0-r0.apk
2024-10-25 20:12
4.1K
perl-moosex-configfromfile-doc-0.14-r0.apk
2025-03-16 04:04
4.2K
w_scan2-doc-1.0.17-r0.apk
2025-06-11 18:25
4.2K
nvim-cmp-buffer-doc-0.0.0_git20220810-r1.apk
2024-10-25 20:11
4.2K
perl-tickit-widget-floatbox-doc-0.11-r0.apk
2024-10-25 20:12
4.2K
perl-moosex-simpleconfig-doc-0.11-r0.apk
2025-03-16 04:04
4.2K
igrep-doc-1.2.0-r0.apk
2024-10-25 20:11
4.2K
warpinator-nemo-1.8.8-r3.apk
2025-06-11 18:25
4.2K
rauc-doc-1.10.1-r0.apk
2024-10-25 20:12
4.2K
checkpolicy-doc-3.6-r0.apk
2024-10-25 20:11
4.2K
xvidtune-doc-1.0.4-r0.apk
2024-10-25 20:13
4.2K
raku-file-ignore-doc-1.2-r0.apk
2025-06-08 16:33
4.2K
perl-dancer-session-cookie-doc-0.30-r2.apk
2024-10-25 20:12
4.2K
perl-test-describeme-doc-0.004-r0.apk
2024-10-25 20:12
4.2K
uxn-doc-1.0-r0.apk
2024-10-25 20:13
4.2K
xisxwayland-2-r1.apk
2024-10-25 20:13
4.2K
a2jmidid-doc-9-r3.apk
2024-10-25 20:10
4.2K
apt-swarm-bash-completion-0.5.1-r0.apk
2025-05-26 11:00
4.2K
ocaml-stdlib-shims-0.3.0-r2.apk
2024-10-25 20:12
4.2K
py3-mando-doc-0.7.1-r3.apk
2024-10-25 20:12
4.2K
libdng-doc-0.2.1-r0.apk
2024-12-27 22:10
4.2K
py3-fastdiff-pyc-0.3.0-r5.apk
2024-10-25 20:12
4.2K
netdiscover-doc-0.20-r0.apk
2025-05-17 21:48
4.2K
py3-log-symbols-0.0.14-r5.apk
2024-10-25 20:12
4.2K
libjodycode-dev-3.1.1-r0.apk
2024-10-25 20:11
4.2K
perl-moosex-traits-pluggable-doc-0.12-r0.apk
2025-05-01 06:39
4.2K
certbot-dns-njalla-pyc-2.0.0-r0.apk
2024-11-27 23:05
4.2K
perl-html-treebuilder-xpath-doc-0.14-r0.apk
2025-07-10 02:27
4.2K
perl-i18n-langinfo-wide-9-r4.apk
2024-10-25 20:12
4.2K
perl-log-message-simple-0.10-r3.apk
2024-10-25 20:12
4.2K
colorpicker-0_git20201128-r1.apk
2024-10-25 20:11
4.2K
perl-context-preserve-doc-0.03-r4.apk
2024-10-25 20:12
4.2K
py3-daterangestr-pyc-0.0.3-r8.apk
2024-10-25 20:12
4.2K
tnef-doc-1.4.18-r0.apk
2024-10-25 20:12
4.2K
transmission-remote-gtk-doc-1.6.0-r0.apk
2024-10-25 20:12
4.2K
steamguard-cli-zsh-completion-0.17.1-r0.apk
2025-07-10 17:35
4.2K
raku-file-find-doc-0.2.1-r0.apk
2025-06-08 16:33
4.2K
alarmwakeup-utils-0.2.1-r0.apk
2024-10-25 20:10
4.2K
perl-ev-hiredis-doc-0.07-r3.apk
2025-07-01 19:19
4.2K
flauschige-uhr-0.1-r1.apk
2024-10-25 20:11
4.2K
qpdfview-doc-0.5-r2.apk
2025-01-29 19:46
4.2K
py3-sphinxcontrib-cacoo-pyc-2.0.0-r7.apk
2024-10-25 20:12
4.3K
py3-bottle-werkzeug-pyc-0.1.1-r9.apk
2024-10-25 20:12
4.3K
perl-test-api-doc-0.010-r2.apk
2024-10-25 20:12
4.3K
perl-file-mmagic-xs-doc-0.09008-r5.apk
2025-07-01 19:19
4.3K
py3-barcodenumber-pyc-0.2.1-r10.apk
2024-10-25 20:12
4.3K
powerstat-doc-0.04.01-r0.apk
2024-10-25 20:12
4.3K
py3-bottle-pgsql-0.2-r5.apk
2024-10-25 20:12
4.3K
k3sup-fish-completion-0.13.6-r6.apk
2025-07-10 02:27
4.3K
perl-future-queue-doc-0.52-r0.apk
2024-10-25 20:12
4.3K
perl-lingua-pt-stemmer-doc-0.02-r0.apk
2025-06-07 02:00
4.3K
libaudec-dev-0.3.4-r3.apk
2024-10-25 20:11
4.3K
pash-2.3.0-r2.apk
2024-10-25 20:12
4.3K
ko-fish-completion-0.17.1-r6.apk
2025-07-10 02:27
4.3K
emacs-emacsql-sqlite-module-3.1.1_git20240714-r0.apk
2024-10-25 20:11
4.3K
eatmemory-0.1.6-r2.apk
2024-10-25 20:11
4.3K
perl-dbix-datasource-0.02-r5.apk
2024-10-25 20:12
4.3K
perl-devel-refcount-doc-0.10-r2.apk
2025-07-01 19:19
4.3K
knative-client-fish-completion-1.18.0-r1.apk
2025-07-10 02:27
4.3K
py3-pyqrcode-doc-1.2.1-r0.apk
2024-10-25 20:12
4.3K
xsane-doc-0.999-r2.apk
2024-10-25 20:13
4.3K
wgcf-fish-completion-2.2.26-r1.apk
2025-07-10 02:27
4.3K
stern-fish-completion-1.32.0-r5.apk
2025-07-10 02:27
4.3K
timoni-fish-completion-0.23.0-r6.apk
2025-07-10 02:27
4.3K
glow-fish-completion-2.1.1-r1.apk
2025-07-10 02:27
4.3K
regal-fish-completion-0.33.1-r1.apk
2025-07-10 02:27
4.3K
perl-asa-1.04-r0.apk
2025-06-11 16:35
4.3K
tenv-fish-completion-4.7.6-r1.apk
2025-07-10 02:27
4.3K
perl-catalystx-component-traits-0.19-r0.apk
2025-05-07 08:26
4.3K
virter-fish-completion-0.29.0-r1.apk
2025-07-10 02:27
4.3K
cilium-cli-fish-completion-0.16.13-r6.apk
2025-07-10 02:26
4.3K
virtctl-fish-completion-1.5.1-r1.apk
2025-07-10 02:27
4.3K
helmfile-fish-completion-1.1.2-r1.apk
2025-07-10 02:27
4.3K
hubble-cli-fish-completion-0.13.6-r6.apk
2025-07-10 02:27
4.3K
perl-lingua-stem-snowball-da-1.01-r0.apk
2025-06-08 16:33
4.3K
perl-uri-ws-doc-0.03-r0.apk
2025-03-19 11:46
4.3K
git-bug-fish-completion-0.8.1-r2.apk
2025-07-10 02:26
4.3K
kubepug-fish-completion-1.7.1-r11.apk
2025-07-10 02:27
4.3K
perl-catalyst-actionrole-acl-0.07-r0.apk
2025-05-07 08:26
4.3K
gambit-doc-4.9.5-r1.apk
2025-04-07 07:48
4.3K
py3-sphinxcontrib-httpdomain-lang-1.8.1-r3.apk
2024-10-25 20:12
4.3K
bomctl-fish-completion-0.1.9-r7.apk
2025-07-10 02:26
4.3K
py3-daterangestr-0.0.3-r8.apk
2024-10-25 20:12
4.4K
sing-box-fish-completion-1.11.15-r0.apk
2025-07-10 11:56
4.4K
perl-musicbrainz-discid-doc-0.06-r2.apk
2025-07-01 19:19
4.4K
qsynth-doc-1.0.2-r0.apk
2024-10-25 20:12
4.4K
kompose-fish-completion-1.31.2-r11.apk
2025-07-10 02:27
4.4K
py3-ovos-phal-plugin-ipgeo-pyc-0.0.2-r1.apk
2024-10-25 20:12
4.4K
perl-parse-distname-doc-0.05-r0.apk
2025-07-11 08:46
4.4K
py3-infinity-1.5-r6.apk
2024-10-25 20:12
4.4K
perl-mojo-reactor-ioasync-doc-1.002-r0.apk
2024-10-25 20:12
4.4K
perl-datetime-format-rfc3339-1.10.0-r0.apk
2025-01-05 15:08
4.4K
perl-number-misc-doc-1.2-r5.apk
2024-10-25 20:12
4.4K
perl-file-treecreate-doc-0.0.1-r0.apk
2025-07-11 08:46
4.4K
perl-template-plugin-number-format-doc-1.06-r4.apk
2024-10-25 20:12
4.4K
perl-test-requires-git-doc-1.008-r0.apk
2024-10-25 20:12
4.4K
py3-flake8-print-pyc-5.0.0-r5.apk
2024-10-25 20:12
4.4K
nvimpager-doc-0.12.0-r0.apk
2024-10-25 20:11
4.4K
perl-test2-tools-explain-doc-0.02-r0.apk
2024-10-25 20:12
4.4K
base64c-0.2.1-r0.apk
2024-10-25 20:10
4.4K
nwg-panel-doc-0.10.10-r0.apk
2025-07-14 06:52
4.4K
perl-catalyst-controller-actionrole-doc-0.17-r0.apk
2025-04-04 05:40
4.4K
setroot-doc-2.0.2-r1.apk
2024-10-25 20:12
4.4K
apache-mod-auth-openidc-doc-2.4.16.11-r1.apk
2025-05-22 07:14
4.4K
py3-marshmallow-enum-pyc-1.5.1-r7.apk
2024-10-25 20:12
4.5K
linuxptp-hwstamp_ctl-4.4-r0.apk
2024-11-18 18:00
4.5K
perl-lingua-en-tagger-doc-0.31-r0.apk
2025-06-08 16:33
4.5K
perl-text-simpletable-2.07-r0.apk
2025-03-19 11:46
4.5K
snore-0.3.1-r0.apk
2024-10-25 20:12
4.5K
zrepl-bash-completion-0.6.1-r12.apk
2025-07-10 02:27
4.5K
lutgen-doc-1.0.0-r0.apk
2025-07-21 20:37
4.5K
py3-ask-pyc-0.0.8-r8.apk
2024-10-25 20:12
4.5K
perl-catalyst-plugin-session-store-delegate-0.06-r0.apk
2025-06-13 18:18
4.5K
gufw-doc-24.04-r3.apk
2024-11-19 21:42
4.5K
speedtest-go-doc-1.1.5-r16.apk
2025-07-10 02:27
4.5K
wakeonlan-0.42-r0.apk
2024-10-25 20:13
4.5K
raku-librarymake-doc-1.0.5-r0.apk
2025-06-08 16:33
4.5K
libwhich-1.2.0-r0.apk
2024-10-25 20:11
4.5K
paperkey-doc-1.6-r2.apk
2024-10-25 20:12
4.5K
py3-cjkwrap-2.2-r6.apk
2025-05-14 19:18
4.5K
gr-satellites-doc-5.5.0-r5.apk
2025-06-08 16:33
4.5K
py3-ovos-phal-plugin-ipgeo-0.0.2-r1.apk
2024-10-25 20:12
4.5K
sblim-wbemcli-doc-1.6.3-r1.apk
2024-10-25 20:12
4.5K
py3-click-default-group-pyc-1.2.4-r1.apk
2024-10-25 20:12
4.5K
perl-catalyst-plugin-session-store-delegate-doc-0.06-r0.apk
2025-06-13 18:18
4.5K
perl-object-pad-fieldattr-checked-doc-0.12-r1.apk
2025-07-01 19:19
4.5K
py3-pytest-home-0.6.0-r0.apk
2024-10-25 20:12
4.5K
innernet-fish-completion-1.6.1-r0.apk
2024-10-25 20:11
4.5K
iprange-doc-1.0.4-r1.apk
2024-10-25 20:11
4.5K
perl-text-brew-0.02-r5.apk
2024-10-25 20:12
4.5K
perl-data-clone-doc-0.006-r1.apk
2025-07-01 19:19
4.5K
slidge-doc-0.1.3-r0.apk
2024-10-25 20:12
4.5K
perl-catalystx-profile-doc-0.02-r0.apk
2025-06-15 04:48
4.5K
godot-doc-4.4.1-r0.apk
2025-05-09 21:25
4.6K
sentinel-proxy-dev-2.1.0-r1.apk
2025-06-13 14:22
4.6K
way-displays-doc-1.14.1-r0.apk
2025-07-05 16:46
4.6K
ffsend-zsh-completion-0.2.76-r4.apk
2024-10-25 20:11
4.6K
perl-pod-cpandoc-0.16-r6.apk
2024-10-25 20:12
4.6K
ticker-bash-completion-4.8.1-r3.apk
2025-07-10 02:27
4.6K
alarmwakeup-libs-0.2.1-r0.apk
2024-10-25 20:10
4.6K
perl-uri-redis-doc-0.02-r0.apk
2024-10-25 20:12
4.6K
hub-bash-completion-2.14.2-r32.apk
2025-07-10 02:27
4.6K
fnf-doc-0.1-r0.apk
2024-10-25 20:11
4.6K
perl-algorithm-cron-doc-0.10-r4.apk
2024-10-25 20:12
4.6K
perl-lingua-en-words2nums-0.18-r0.apk
2025-06-07 14:55
4.6K
libcork-tools-0.15.0-r7.apk
2024-10-25 20:11
4.6K
youki-dbg-0.4.1-r0.apk
2024-10-25 20:13
4.6K
php83-pecl-apfd-1.0.3-r0.apk
2024-10-25 20:12
4.6K
z-1.12-r0.apk
2024-10-25 20:13
4.6K
wiki-tui-doc-0.8.2-r1.apk
2024-10-25 20:13
4.6K
perl-perlio-locale-0.10-r13.apk
2025-07-01 19:19
4.6K
py3-hurry.filesize-0.9-r8.apk
2024-10-25 20:12
4.6K
php82-pecl-apfd-1.0.3-r0.apk
2024-10-25 20:12
4.6K
caps2esc-0.3.2-r0.apk
2024-10-25 20:11
4.6K
ry-0.5.2-r1.apk
2024-10-25 20:12
4.6K
py3-visitor-0.1.3-r7.apk
2024-10-25 20:12
4.6K
apt-mirror-doc-0.5.4-r0.apk
2024-10-25 20:10
4.6K
perl-tickit-widget-entry-plugin-completion-0.02-r0.apk
2024-10-25 20:12
4.6K
perl-signature-attribute-checked-doc-0.06-r1.apk
2025-07-01 19:19
4.6K
libuecc-dev-7-r4.apk
2025-03-03 16:06
4.6K
surf-doc-2.1-r3.apk
2024-10-25 20:12
4.6K
spread-sheet-widget-doc-0.10-r0.apk
2024-10-25 20:12
4.6K
py3-bottle-websocket-0.2.9-r8.apk
2024-10-25 20:12
4.6K
py3-ioctl-opt-pyc-1.3-r0.apk
2025-01-27 21:37
4.6K
py3-pip-system-certs-pyc-4.0-r1.apk
2024-10-25 20:12
4.7K
clevis-extra-pins-0_git20230629-r0.apk
2024-10-25 20:11
4.7K
eboard-doc-1.1.3-r1.apk
2024-10-25 20:11
4.7K
quodlibet-bash-completion-4.6.0-r2.apk
2025-05-12 21:41
4.7K
perl-catalyst-plugin-stacktrace-0.12-r0.apk
2025-06-14 02:46
4.7K
perl-class-c3-adopt-next-doc-0.14-r0.apk
2025-03-14 16:31
4.7K
libb64-2.0.0.1-r0.apk
2024-10-25 20:11
4.7K
lua5.2-editorconfig-0.3.0-r0.apk
2024-10-25 20:11
4.7K
perl-tickit-widget-floatbox-0.11-r0.apk
2024-10-25 20:12
4.7K
py3-bottle-sqlite-0.2.0-r7.apk
2024-10-25 20:12
4.7K
fbcur-1.0.1-r1.apk
2024-10-25 20:11
4.7K
perl-url-encode-doc-0.03-r4.apk
2024-10-25 20:12
4.7K
py3-crc16-pyc-0.1.1-r10.apk
2024-10-25 20:12
4.7K
octoprint-creality2xfix-0.0.4-r2.apk
2024-10-25 20:12
4.7K
soundconverter-doc-4.1.0-r0.apk
2025-06-24 16:41
4.7K
i2util-doc-4.2.1-r1.apk
2024-10-25 20:11
4.7K
perl-module-path-0.19-r0.apk
2025-06-08 16:33
4.7K
rattler-build-fish-completion-0.18.0-r0.apk
2024-10-25 20:12
4.7K
mkdocs-bootswatch-pyc-1.1-r5.apk
2024-10-25 20:11
4.7K
perl-devel-findperl-0.016-r0.apk
2025-06-08 16:33
4.7K
ansiweather-1.19.0-r1.apk
2024-10-25 20:10
4.7K
jsmn-1.1.0-r2.apk
2024-10-25 20:11
4.7K
console_bridge-dev-1.0.2-r0.apk
2024-10-25 20:11
4.7K
lua5.4-editorconfig-0.3.0-r0.apk
2024-10-25 20:11
4.7K
perl-mojo-reactor-ioasync-1.002-r0.apk
2024-10-25 20:12
4.7K
reflex-dev-20241231-r0.apk
2025-06-21 07:06
4.7K
lua5.3-editorconfig-0.3.0-r0.apk
2024-10-25 20:11
4.7K
xmag-doc-1.0.8-r0.apk
2024-10-25 20:13
4.7K
py3-doi-pyc-0.2-r0.apk
2025-04-12 12:09
4.7K
py3-flask-cdn-1.5.3-r8.apk
2024-10-25 20:12
4.8K
py3-ovos-microphone-plugin-alsa-pyc-0.1.2-r0.apk
2025-07-15 20:03
4.8K
ruby-minitest-proveit-1.0.0-r0.apk
2025-07-06 18:48
4.8K
wl-kbptr-doc-0.4.0-r0.apk
2025-07-20 14:23
4.8K
hx-doc-1.0.15-r0.apk
2024-10-25 20:11
4.8K
perl-test-requires-git-1.008-r0.apk
2024-10-25 20:12
4.8K
ruby-build-doc-20250507-r0.apk
2025-05-15 13:21
4.8K
perl-email-reply-doc-1.204-r5.apk
2024-10-25 20:12
4.8K
perl-alien-libgumbo-doc-0.05-r1.apk
2025-07-01 19:19
4.8K
rankwidth-static-0.9-r3.apk
2024-10-25 20:12
4.8K
perl-encode-detect-doc-1.01-r1.apk
2025-07-01 19:19
4.8K
perl-catalyst-plugin-session-state-cookie-doc-0.18-r0.apk
2025-04-20 04:32
4.8K
py3-bottle-sqlalchemy-0.4.3-r8.apk
2024-10-25 20:12
4.8K
trippy-zsh-completion-0.13.0-r0.apk
2025-05-18 23:22
4.8K
perl-catalyst-plugin-session-state-cookie-0.18-r0.apk
2025-04-20 04:32
4.9K
perl-test-utf8-doc-1.03-r0.apk
2024-11-16 15:11
4.9K
sstp-client-doc-1.0.20-r2.apk
2025-05-30 10:24
4.9K
perl-xml-rpc-doc-2.1-r0.apk
2024-10-25 20:12
4.9K
perl-git-version-compare-doc-1.005-r0.apk
2024-10-25 20:12
4.9K
py3-bottle-api-0.0.4-r7.apk
2024-10-25 20:12
4.9K
perl-linux-pid-0.04-r15.apk
2025-07-01 19:19
4.9K
horizon-dev-0.9.6-r9.apk
2024-10-25 20:11
4.9K
ckb-next-dev-0.6.2-r0.apk
2025-03-19 11:45
4.9K
perl-expect-simple-doc-0.04-r0.apk
2025-04-20 04:38
4.9K
py3-sphinxcontrib-slide-1.0.0-r4.apk
2025-05-12 21:41
4.9K
pdf2svg-0.2.3-r1.apk
2024-10-25 20:12
4.9K
perl-pod-cpandoc-doc-0.16-r6.apk
2024-10-25 20:12
4.9K
perl-template-plugin-number-format-1.06-r4.apk
2024-10-25 20:12
4.9K
catcodec-doc-1.0.5-r2.apk
2024-10-25 20:11
4.9K
py3-scs-pyc-3.2.3-r4.apk
2024-10-25 20:12
4.9K
perl-dancer-plugin-dbic-0.2104-r5.apk
2024-10-25 20:12
4.9K
xfd-doc-1.1.4-r0.apk
2024-10-25 20:13
4.9K
py3-sphinxcontrib-sqltable-pyc-2.0.0-r8.apk
2024-10-25 20:12
4.9K
envsubst-0.1-r1.apk
2024-10-25 20:11
4.9K
perl-multidimensional-0.014-r1.apk
2025-07-01 19:19
4.9K
findtow-0.1-r0.apk
2024-10-25 20:11
4.9K
perl-moosex-traits-pluggable-0.12-r0.apk
2025-05-01 06:39
4.9K
perl-test-settings-0.003-r0.apk
2024-10-25 20:12
4.9K
projectsandcastle-loader-0_git20200307-r1.apk
2024-10-25 20:12
4.9K
openslide-doc-3.4.1-r3.apk
2024-10-25 20:12
4.9K
py3-flask-autorouter-pyc-0.2.2-r3.apk
2024-10-25 20:12
5.0K
rofi-pass-doc-2.0.2-r2.apk
2024-10-25 20:12
5.0K
py3-django-taggit-serializer-pyc-0.1.7-r8.apk
2024-10-25 20:12
5.0K
git-revise-doc-0.7.0-r5.apk
2024-10-25 20:11
5.0K
perl-uri-tcp-doc-2.0.0-r0.apk
2024-10-25 20:12
5.0K
lomiri-action-api-dev-1.2.0-r0.apk
2025-04-11 18:13
5.0K
py3-print-color-pyc-0.4.6-r0.apk
2024-10-25 20:12
5.0K
perl-xml-parser-style-easytree-0.09-r0.apk
2024-10-25 20:12
5.0K
perl-catalyst-controller-actionrole-0.17-r0.apk
2025-04-04 05:40
5.0K
perl-template-tiny-doc-1.15-r0.apk
2025-06-17 04:32
5.0K
mangal-bash-completion-4.0.6-r19.apk
2025-07-10 02:27
5.0K
perl-asa-doc-1.04-r0.apk
2025-06-11 16:35
5.0K
py3-ask-0.0.8-r8.apk
2024-10-25 20:12
5.0K
libdbusaccess-dev-1.0.20-r0.apk
2025-04-13 22:18
5.0K
perl-astro-telescope-doc-0.71-r0.apk
2025-07-21 08:40
5.0K
k3sup-bash-completion-0.13.6-r6.apk
2025-07-10 02:27
5.0K
py3-pytest-datadir-pyc-1.7.2-r0.apk
2025-07-12 21:59
5.0K
perl-protocol-redis-doc-1.0021-r0.apk
2024-10-25 20:12
5.0K
ko-bash-completion-0.17.1-r6.apk
2025-07-10 02:27
5.0K
lomiri-thumbnailer-dev-3.0.4-r2.apk
2025-02-17 09:34
5.0K
apache2-mod-realdoc-1-r1.apk
2024-10-25 20:10
5.1K
lxd-bash-completion-5.0.3-r12.apk
2025-07-10 02:27
5.1K
linux-timemachine-1.3.2-r0.apk
2024-10-25 20:11
5.1K
cilium-cli-bash-completion-0.16.13-r6.apk
2025-07-10 02:26
5.1K
xfce4-hamster-plugin-lang-1.17-r0.apk
2024-10-25 20:13
5.1K
cargo-run-bin-doc-1.7.2-r0.apk
2024-10-25 20:11
5.1K
py3-pygpgme-pyc-0.3.1-r9.apk
2024-10-25 20:12
5.1K
hubble-cli-bash-completion-0.13.6-r6.apk
2025-07-10 02:27
5.1K
py3-ovos-phal-plugin-connectivity-events-pyc-0.1.2-r0.apk
2025-07-15 20:03
5.1K
abnfgen-doc-0.21-r0.apk
2025-05-27 21:26
5.1K
perl-class-c3-adopt-next-0.14-r0.apk
2025-03-14 16:31
5.1K
py3-flask-autorouter-0.2.2-r3.apk
2024-10-25 20:12
5.1K
perl-test-api-0.010-r2.apk
2024-10-25 20:12
5.1K
wmctrl-doc-1.07-r1.apk
2024-10-25 20:13
5.1K
perl-algorithm-c3-doc-0.11-r1.apk
2024-10-25 20:12
5.1K
reaction-tools-2.1.2-r0.apk
2025-07-15 07:52
5.1K
gamemode-dev-1.8.2-r0.apk
2025-02-05 23:26
5.1K
perl-moosex-markasmethods-0.15-r0.apk
2025-06-04 17:22
5.1K
minidyndns-doc-1.3.0-r3.apk
2024-10-25 20:11
5.1K
perl-anyevent-dns-etchosts-0.0105-r0.apk
2024-10-25 20:12
5.1K
perl-algorithm-permute-doc-0.17-r1.apk
2025-07-01 19:19
5.1K
lxd-feature-bash-completion-5.20-r12.apk
2025-07-10 02:27
5.1K
kubepug-bash-completion-1.7.1-r11.apk
2025-07-10 02:27
5.1K
virtctl-bash-completion-1.5.1-r1.apk
2025-07-10 02:27
5.1K
php85-ctype-8.5.0_alpha2-r0.apk
2025-07-16 04:02
5.1K
bomctl-bash-completion-0.1.9-r7.apk
2025-07-10 02:26
5.1K
serialdv-dev-1.1.4-r1.apk
2025-02-08 23:44
5.1K
php81-ctype-8.1.33-r0.apk
2025-07-02 22:19
5.1K
py3-radon-doc-6.0.1-r2.apk
2024-10-25 20:12
5.1K
kine-doc-0.10.1-r14.apk
2025-07-10 02:27
5.1K
sing-box-bash-completion-1.11.15-r0.apk
2025-07-10 11:56
5.1K
py3-click-default-group-1.2.4-r1.apk
2024-10-25 20:12
5.1K
perl-url-encode-0.03-r4.apk
2024-10-25 20:12
5.2K
perl-path-iter-doc-0.2-r3.apk
2024-10-25 20:12
5.2K
ruby-minitest-focus-1.3.1-r0.apk
2025-07-06 18:48
5.2K
paperde-dev-0.2.1-r2.apk
2024-10-25 20:12
5.2K
perl-color-ansi-util-doc-0.165-r0.apk
2024-10-25 20:12
5.2K
perl-ffi-platypus-type-enum-doc-0.06-r0.apk
2024-10-25 20:12
5.2K
perl-anyevent-future-0.05-r0.apk
2024-10-25 20:12
5.2K
perl-net-irr-doc-0.10-r0.apk
2024-10-25 20:12
5.2K
cargo-shuttle-bash-completion-0.56.3-r0.apk
2025-07-22 11:45
5.2K
py3-bottle-rest-pyc-0.6.0-r1.apk
2024-10-25 20:12
5.2K
perl-digest-bcrypt-doc-1.212-r1.apk
2024-10-25 20:12
5.2K
perl-number-misc-1.2-r5.apk
2024-10-25 20:12
5.2K
cproto-doc-4.7x-r0.apk
2025-07-21 08:40
5.2K
minimodem-doc-0.24-r1.apk
2024-10-25 20:11
5.2K
tre-dev-0.8.0-r2.apk
2024-10-25 20:12
5.2K
py3-flake8-blind-except-0.2.1-r4.apk
2024-10-25 20:12
5.2K
perl-moosex-markasmethods-doc-0.15-r0.apk
2025-06-04 17:22
5.2K
perl-ffi-platypus-type-enum-0.06-r0.apk
2024-10-25 20:12
5.2K
rankwidth-libs-0.9-r3.apk
2024-10-25 20:12
5.2K
py3-bottle-api-pyc-0.0.4-r7.apk
2024-10-25 20:12
5.2K
perl-lingua-stem-it-0.02-r0.apk
2025-06-06 14:57
5.2K
perl-path-iter-0.2-r3.apk
2024-10-25 20:12
5.2K
tree-sitter-git-rebase-0_git20240722-r0.apk
2025-03-10 22:57
5.2K
perl-text-table-sprintf-doc-0.008-r0.apk
2024-10-25 20:12
5.2K
sydbox-vim-3.21.3-r0.apk
2024-10-25 20:12
5.2K
zlevis-1.3-r0.apk
2025-03-14 17:31
5.2K
zita-njbridge-doc-0.4.8-r1.apk
2024-10-25 20:13
5.2K
py3-bottle-sqlite-pyc-0.2.0-r7.apk
2024-10-25 20:12
5.2K
dnsenum-doc-1.3.2-r0.apk
2024-10-25 20:11
5.2K
mobpass-pyc-0.2-r6.apk
2024-10-25 20:11
5.2K
perl-snowball-swedish-1.2-r0.apk
2025-06-08 16:33
5.2K
json2tsv-doc-1.2-r0.apk
2024-10-25 20:11
5.2K
py3-cjkwrap-pyc-2.2-r6.apk
2025-05-14 19:18
5.2K
py3-iterable-io-pyc-1.0.0-r0.apk
2024-10-25 20:12
5.3K
logc-config-0.5.0-r1.apk
2025-06-13 14:22
5.3K
edward-doc-1.1.0-r0.apk
2024-10-25 20:11
5.3K
git-bug-bash-completion-0.8.1-r2.apk
2025-07-10 02:26
5.3K
perl-snowball-norwegian-1.2-r0.apk
2025-06-08 16:33
5.3K
mbpfan-doc-2.4.0-r1.apk
2024-10-25 20:11
5.3K
gtk-session-lock-dev-0.2.0-r0.apk
2025-01-31 16:16
5.3K
perl-test-memorygrowth-doc-0.05-r0.apk
2024-10-25 20:12
5.3K
perl-string-compare-constanttime-doc-0.321-r7.apk
2025-07-01 19:19
5.3K
py3-marshmallow-enum-1.5.1-r7.apk
2024-10-25 20:12
5.3K
perl-lingua-en-inflect-phrase-0.20-r0.apk
2025-06-09 12:06
5.3K
py3-jaraco.logging-3.4.0-r0.apk
2025-06-17 22:17
5.3K
xmp-doc-4.2.0-r0.apk
2024-10-25 20:13
5.3K
lockrun-1.1.3-r1.apk
2024-10-25 20:11
5.3K
lua-resty-redis-0.29-r0.apk
2024-10-25 20:11
5.3K
py3-flake8-snippets-0.2-r8.apk
2024-10-25 20:12
5.3K
perl-dbicx-sugar-doc-0.0200-r5.apk
2024-10-25 20:12
5.3K
perl-class-c3-componentised-doc-1.001002-r2.apk
2024-10-25 20:12
5.3K
perl-guard-doc-1.023-r10.apk
2025-07-01 19:19
5.3K
sstp-client-dev-1.0.20-r2.apk
2025-05-30 10:24
5.3K
perl-moosex-emulate-class-accessor-fast-doc-0.009032-r0.apk
2025-03-16 04:04
5.3K
py3-flask-basicauth-0.2.0-r9.apk
2024-10-25 20:12
5.3K
perl-sys-syscall-0.25-r10.apk
2024-10-25 20:12
5.3K
perl-dancer-plugin-dbic-doc-0.2104-r5.apk
2024-10-25 20:12
5.4K
py3-pickle-secure-pyc-0.99.9-r1.apk
2024-10-25 20:12
5.4K
perl-text-table-sprintf-0.008-r0.apk
2024-10-25 20:12
5.4K
py3-flake8-isort-pyc-6.1.1-r1.apk
2024-10-25 20:12
5.4K
ocaml-mirage-random-dev-3.0.0-r3.apk
2024-10-25 20:12
5.4K
startup-fish-completion-2.0.3-r5.apk
2024-10-25 20:12
5.4K
libmpfi-dev-1.5.4-r2.apk
2024-10-25 20:11
5.4K
perl-catalyst-plugin-configloader-0.35-r0.apk
2025-03-20 16:04
5.4K
rofi-json-menu-0.2.0-r1.apk
2024-10-25 20:12
5.4K
ruby-minitest-server-1.0.8-r0.apk
2025-07-06 18:48
5.4K
perl-net-async-redis-xs-doc-1.001-r2.apk
2025-07-01 19:19
5.4K
php82-snappy-0.2.3-r0.apk
2025-04-11 18:13
5.4K
php84-snappy-0.2.3-r0.apk
2025-04-11 18:13
5.4K
perl-git-version-compare-1.005-r0.apk
2024-10-25 20:12
5.4K
raku-log-timeline-doc-0.5.2-r0.apk
2025-06-07 07:44
5.4K
perl-object-signature-doc-1.08-r0.apk
2025-04-04 11:57
5.4K
perl-app-a2p-doc-1.013-r0.apk
2025-07-21 08:40
5.4K
perl-xml-parser-style-easytree-doc-0.09-r0.apk
2024-10-25 20:12
5.4K
py3-setuptools-lint-0.6.0-r9.apk
2024-10-25 20:12
5.4K
perl-html-gumbo-doc-0.18-r2.apk
2025-07-01 19:19
5.4K
perl-anyevent-future-doc-0.05-r0.apk
2024-10-25 20:12
5.4K
perl-lingua-pt-stemmer-0.02-r0.apk
2025-06-07 02:00
5.4K
perl-moosex-emulate-class-accessor-fast-0.009032-r0.apk
2025-03-16 04:04
5.4K
perl-test-class-tiny-doc-0.03-r0.apk
2024-10-25 20:12
5.4K
rattler-build-zsh-completion-0.18.0-r0.apk
2024-10-25 20:12
5.4K
perl-string-toidentifier-en-0.12-r0.apk
2025-06-09 12:06
5.4K
py3-ovos-tts-server-plugin-pyc-0.0.2_alpha13-r1.apk
2024-10-25 20:12
5.4K
base64c-dev-0.2.1-r0.apk
2024-10-25 20:10
5.5K
innernet-zsh-completion-1.6.1-r0.apk
2024-10-25 20:11
5.5K
vbindiff-doc-3.0_beta5-r2.apk
2025-05-25 07:51
5.5K
py3-pycolorterm-0.2.1-r6.apk
2024-10-25 20:12
5.5K
perl-dancer-session-cookie-0.30-r2.apk
2024-10-25 20:12
5.5K
perl-net-irr-0.10-r0.apk
2024-10-25 20:12
5.5K
tick-doc-1.2.2-r0.apk
2025-01-14 01:26
5.5K
perl-scalar-readonly-0.03-r2.apk
2025-07-01 19:19
5.5K
perl-sort-naturally-doc-1.03-r4.apk
2024-10-25 20:12
5.5K
perl-parse-distname-0.05-r0.apk
2025-07-11 08:46
5.5K
perl-data-validate-domain-doc-0.15-r0.apk
2024-10-25 20:12
5.5K
spvm-mime-base64-doc-1.003-r1.apk
2025-07-01 19:19
5.5K
luksmeta-doc-9-r0.apk
2024-10-25 20:11
5.5K
autoconf-policy-0.1-r0.apk
2024-10-25 20:10
5.5K
wl-ime-type-0.1.1-r0.apk
2025-04-13 09:13
5.5K
perl-expect-simple-0.04-r0.apk
2025-04-20 04:38
5.5K
perl-moosex-object-pluggable-doc-0.0014-r0.apk
2025-04-21 17:33
5.5K
ghq-doc-1.8.0-r2.apk
2025-07-10 02:26
5.5K
perl-conf-libconfig-doc-1.0.3-r2.apk
2025-07-01 19:19
5.5K
perl-template-tiny-1.15-r0.apk
2025-06-17 04:32
5.5K
libglib-testing-dev-0.1.1-r0.apk
2025-05-08 12:15
5.5K
py3-sphinx-theme-guzzle-pyc-0.7.11-r7.apk
2024-10-25 20:12
5.5K
py3-requests-wsgi-adapter-0.4.1-r1.apk
2024-10-25 20:12
5.5K
kubeseal-doc-0.30.0-r1.apk
2025-07-10 02:27
5.5K
upterm-bash-completion-0.14.3-r6.apk
2025-07-10 02:27
5.5K
wol-doc-0.7.1-r3.apk
2024-10-25 20:13
5.5K
perl-class-c3-componentised-1.001002-r2.apk
2024-10-25 20:12
5.5K
font-fantasque-sans-doc-1.8.0-r0.apk
2024-10-25 20:11
5.5K
prosody-mod-mam_muc-0.11_hg20201208-r0.apk
2024-10-25 20:12
5.6K
py3-rst-0.1-r9.apk
2024-10-25 20:12
5.6K
py3-sphinxcontrib-slide-pyc-1.0.0-r4.apk
2025-05-12 21:41
5.6K
qperf-doc-0.4.11-r2.apk
2025-05-12 21:41
5.6K
py3-flask-loopback-1.4.7-r7.apk
2024-10-25 20:12
5.6K
perl-list-keywords-doc-0.11-r1.apk
2025-07-01 19:19
5.6K
perl-test-utf8-1.03-r0.apk
2024-11-16 15:11
5.6K
py3-flask-accept-0.0.7-r0.apk
2025-07-12 15:29
5.6K
perl-string-toidentifier-en-doc-0.12-r0.apk
2025-06-09 12:06
5.6K
perl-digest-bcrypt-1.212-r1.apk
2024-10-25 20:12
5.6K
kompose-bash-completion-1.31.2-r11.apk
2025-07-10 02:27
5.6K
hexedit-doc-1.6_git20230905-r0.apk
2024-10-25 20:11
5.6K
fpp-doc-0.9.5-r0.apk
2024-10-25 20:11
5.6K
walk-sor-0_git20190920-r1.apk
2024-10-25 20:13
5.6K
py3-synapse-auto-accept-invite-pyc-1.2.0-r0.apk
2024-10-25 20:12
5.6K
neard-doc-0.19-r0.apk
2024-10-25 20:11
5.6K
py3-flask-markdown-0.3-r8.apk
2024-10-25 20:12
5.6K
perl-protocol-redis-1.0021-r0.apk
2024-10-25 20:12
5.6K
bgs-0.8-r1.apk
2024-10-25 20:11
5.6K
perl-data-section-doc-0.200008-r0.apk
2025-07-07 17:02
5.6K
neocmakelsp-doc-0.8.22-r0.apk
2025-05-05 08:15
5.6K
py3-bottle-sqlalchemy-pyc-0.4.3-r8.apk
2024-10-25 20:12
5.6K
rankwidth-0.9-r3.apk
2024-10-25 20:12
5.6K
herbe-1.0.0-r0.apk
2024-10-25 20:11
5.6K
ocaml-tophide-1.0.4-r2.apk
2024-10-25 20:12
5.7K
py3-sphinxcontrib-textstyle-pyc-0.2.3-r8.apk
2024-10-25 20:12
5.7K
perl-variable-disposition-doc-0.005-r0.apk
2024-10-25 20:12
5.7K
perl-lwp-useragent-cached-doc-0.08-r1.apk
2024-10-25 20:12
5.7K
perl-algorithm-c3-0.11-r1.apk
2024-10-25 20:12
5.7K
logc-libs-dev-0.1.0-r0.apk
2024-10-25 20:11
5.7K
perl-freezethaw-doc-0.5001-r2.apk
2024-10-25 20:12
5.7K
harminv-doc-1.4.2-r1.apk
2024-10-25 20:11
5.7K
perl-aliased-0.34-r4.apk
2024-10-25 20:12
5.7K
macchina-doc-6.4.0-r0.apk
2025-07-22 20:51
5.7K
perl-aliased-doc-0.34-r4.apk
2024-10-25 20:12
5.7K
py3-sphinxcontrib-bitbucket-1.0-r8.apk
2024-10-25 20:12
5.7K
materia-chromium-20210322-r3.apk
2025-07-10 16:38
5.7K
git2json-pyc-0.2.3-r8.apk
2024-10-25 20:11
5.7K
perl-object-array-0.060-r0.apk
2024-10-25 20:12
5.7K
py3-flake8-polyfill-pyc-1.0.2-r5.apk
2024-10-25 20:12
5.7K
perl-lwp-online-doc-1.08-r0.apk
2024-10-25 20:12
5.7K
materia-compact-chromium-20210322-r3.apk
2025-07-10 16:38
5.7K
materia-dark-chromium-20210322-r3.apk
2025-07-10 16:38
5.7K
turnstile-doc-0.1.10-r3.apk
2024-10-25 20:13
5.7K
py3-uacme-desec-1.2.1-r0.apk
2024-10-25 20:12
5.7K
memdump-1.01-r1.apk
2024-10-25 20:11
5.7K
materia-dark-compact-chromium-20210322-r3.apk
2025-07-10 16:38
5.7K
libvoikko-doc-4.3.2-r1.apk
2024-10-25 20:11
5.7K
pfetch-doc-1.9.0-r0.apk
2025-04-11 18:13
5.7K
py3-sphinxcontrib-cacoo-2.0.0-r7.apk
2024-10-25 20:12
5.7K
libnfcdef-dev-1.0.1-r0.apk
2025-04-13 22:18
5.7K
perl-xml-rpc-2.1-r0.apk
2024-10-25 20:12
5.7K
termbox-dev-1.1.2-r1.apk
2024-10-25 20:12
5.7K
perl-catalyst-actionrole-acl-doc-0.07-r0.apk
2025-05-07 08:26
5.7K
py3-notifymail-pyc-1.1-r8.apk
2024-10-25 20:12
5.7K
mrsh-0_git20210518-r1.apk
2024-10-25 20:11
5.7K
apt-swarm-fish-completion-0.5.1-r0.apk
2025-05-26 11:00
5.7K
utop-doc-2.9.1-r4.apk
2024-10-25 20:13
5.7K
perl-io-sessiondata-1.03-r3.apk
2024-10-25 20:12
5.8K
dfu-programmer-doc-1.1.0-r0.apk
2024-10-25 20:11
5.8K
perl-shell-guess-doc-0.10-r0.apk
2025-06-07 14:55
5.8K
py3-simplematch-pyc-1.4-r1.apk
2024-10-25 20:12
5.8K
perl-module-path-doc-0.19-r0.apk
2025-06-08 16:33
5.8K
gsimplecal-doc-2.5.1-r0.apk
2024-10-25 20:11
5.8K
py3-flask-bcrypt-pyc-1.0.1-r5.apk
2024-10-25 20:12
5.8K
py3-slixmpp-doc-1.8.5-r2.apk
2024-10-25 20:12
5.8K
stern-bash-completion-1.32.0-r5.apk
2025-07-10 02:27
5.8K
py3-grequests-pyc-0.7.0-r3.apk
2025-05-14 18:17
5.8K
startup-dev-2.0.3-r5.apk
2024-10-25 20:12
5.8K
perl-data-validate-domain-0.15-r0.apk
2024-10-25 20:12
5.8K
emacs-emacsql-psql-3.1.1_git20240714-r0.apk
2024-10-25 20:11
5.9K
perl-data-validate-ip-doc-0.31-r1.apk
2024-10-25 20:12
5.9K
dbus-broker-doc-37-r0.apk
2025-06-17 10:18
5.9K
perl-carp-repl-doc-0.18-r0.apk
2025-04-26 17:12
5.9K
libhwpwm-dev-0.4.4-r0.apk
2024-10-25 20:11
5.9K
perl-test-class-tiny-0.03-r0.apk
2024-10-25 20:12
5.9K
apt-swarm-zsh-completion-0.5.1-r0.apk
2025-05-26 11:00
5.9K
prosody-mod-mam-0.11_hg20201208-r0.apk
2024-10-25 20:12
5.9K
restart-services-doc-0.17.0-r0.apk
2024-10-25 20:12
5.9K
perl-catalyst-plugin-session-store-dbic-0.14-r0.apk
2025-06-13 18:18
5.9K
spin-doc-6.5.2-r1.apk
2024-10-25 20:12
5.9K
perl-app-find2perl-doc-1.005-r0.apk
2025-07-21 08:40
5.9K
perl-dbicx-sugar-0.0200-r5.apk
2024-10-25 20:12
5.9K
py3-pytest-expect-1.1.0-r10.apk
2024-10-25 20:12
5.9K
perl-ppi-xs-0.910-r2.apk
2025-07-01 19:19
5.9K
py3-jaraco.versioning-1.1.0-r0.apk
2024-10-25 20:12
5.9K
perl-syntax-operator-in-doc-0.10-r1.apk
2025-07-01 19:19
5.9K
par2cmdline-turbo-doc-1.3.0-r0.apk
2025-05-08 12:18
5.9K
river-shifttags-0.2.1-r1.apk
2025-05-12 21:41
5.9K
perl-term-size-0.211-r5.apk
2025-07-01 19:19
5.9K
perl-carp-repl-0.18-r0.apk
2025-04-26 17:12
6.0K
py3-ticket-auth-0.1.4-r9.apk
2024-10-25 20:12
6.0K
py3-flake8-debugger-pyc-4.1.2-r4.apk
2024-10-25 20:12
6.0K
spvm-thread-doc-0.003-r1.apk
2025-07-01 19:19
6.0K
perl-shell-guess-0.10-r0.apk
2025-06-07 14:55
6.0K
perl-moosex-object-pluggable-0.0014-r0.apk
2025-04-21 17:33
6.0K
py3-pytap2-pyc-2.3.0-r0.apk
2024-10-25 20:12
6.0K
flawz-doc-0.3.0-r0.apk
2024-11-03 21:06
6.0K
f_scripts-f_phone-0.6-r1.apk
2024-10-25 20:11
6.0K
php85-sysvsem-8.5.0_alpha2-r0.apk
2025-07-16 04:02
6.0K
py3-setuptools-lint-pyc-0.6.0-r9.apk
2024-10-25 20:12
6.0K
spvm-errno-doc-0.093-r1.apk
2025-07-01 19:19
6.0K
hdf4-doc-4.2.15-r2.apk
2024-10-25 20:11
6.0K
perl-datetime-astro-doc-1.04-r0.apk
2025-07-21 08:40
6.0K
dislocker-doc-0.7.3-r6.apk
2025-07-19 22:46
6.0K
php81-sysvsem-8.1.33-r0.apk
2025-07-02 22:19
6.0K
mdcat-doc-2.7.1-r0.apk
2024-12-14 18:04
6.0K
py3-iterable-io-1.0.0-r0.apk
2024-10-25 20:12
6.0K
leptosfmt-doc-0.1.33-r0.apk
2025-03-25 15:46
6.0K
perl-test-settings-doc-0.003-r0.apk
2024-10-25 20:12
6.0K
mint-x-theme-metacity-2.1.1-r0.apk
2024-10-25 20:11
6.1K
py3-class-doc-1.25-r1.apk
2024-10-25 20:12
6.1K
tayga-doc-0.9.5-r0.apk
2025-06-20 07:58
6.1K
emacs-emacsql-mysql-3.1.1_git20240714-r0.apk
2024-10-25 20:11
6.1K
perl-test-distribution-doc-2.00-r1.apk
2024-10-25 20:12
6.1K
symlinks-1.4.3-r0.apk
2025-04-21 21:27
6.1K
libhwpwm-0.4.4-r0.apk
2024-10-25 20:11
6.1K
py3-rst.linker-2.6.0-r0.apk
2024-10-25 20:12
6.1K
py3-spinners-0.0.24-r5.apk
2024-10-25 20:12
6.1K
regal-bash-completion-0.33.1-r1.apk
2025-07-10 02:27
6.1K
wgcf-bash-completion-2.2.26-r1.apk
2025-07-10 02:27
6.1K
glow-bash-completion-2.1.1-r1.apk
2025-07-10 02:27
6.1K
tenv-bash-completion-4.7.6-r1.apk
2025-07-10 02:27
6.1K
py3-jaraco.versioning-pyc-1.1.0-r0.apk
2024-10-25 20:12
6.1K
virter-bash-completion-0.29.0-r1.apk
2025-07-10 02:27
6.1K
helmfile-bash-completion-1.1.2-r1.apk
2025-07-10 02:27
6.1K
py3-rst-pyc-0.1-r9.apk
2024-10-25 20:12
6.1K
py3-sphinxcontrib-textstyle-0.2.3-r8.apk
2024-10-25 20:12
6.1K
perl-algorithm-cron-0.10-r4.apk
2024-10-25 20:12
6.1K
py3-bottle-rest-0.6.0-r1.apk
2024-10-25 20:12
6.1K
py3-jaraco.logging-pyc-3.4.0-r0.apk
2025-06-17 22:17
6.1K
perl-net-patricia-doc-1.22-r13.apk
2025-07-01 19:19
6.1K
virtualgl-dev-3.1.3-r0.apk
2025-04-21 21:46
6.1K
perl-bareword-filehandles-0.007-r1.apk
2025-07-01 19:19
6.1K
curlftpfs-doc-0.9.2-r3.apk
2024-10-25 20:11
6.1K
perl-lingua-stem-fr-0.02-r0.apk
2025-06-06 14:57
6.1K
remind-caldav-pyc-0.8.0-r4.apk
2024-10-25 20:12
6.1K
shfm-doc-0.4.2-r1.apk
2024-10-25 20:12
6.1K
perl-email-reply-1.204-r5.apk
2024-10-25 20:12
6.1K
perl-lwp-online-1.08-r0.apk
2024-10-25 20:12
6.2K
py3-flask-qrcode-pyc-3.2.0-r0.apk
2024-12-12 06:32
6.2K
py3-doi-0.2-r0.apk
2025-04-12 12:09
6.2K
game-devices-udev-0.23-r0.apk
2024-11-12 10:57
6.2K
perl-cgi-expand-doc-2.05-r4.apk
2024-10-25 20:12
6.2K
libb64-dev-2.0.0.1-r0.apk
2024-10-25 20:11
6.2K
swhkd-doc-1.2.1-r0.apk
2024-10-25 20:12
6.2K
perl-test-toolbox-doc-0.4-r5.apk
2024-10-25 20:12
6.2K
grip-doc-4.2.4-r0.apk
2024-10-25 20:11
6.2K
neofetch-doc-7.1.0-r2.apk
2024-11-06 21:46
6.2K
perl-check-unitcheck-0.13-r2.apk
2025-07-01 19:19
6.2K
perl-string-random-doc-0.32-r2.apk
2024-10-25 20:12
6.2K
kannel-doc-1.5.0-r11.apk
2024-10-25 20:11
6.2K
libdng-utils-0.2.1-r0.apk
2024-12-27 22:10
6.2K
perl-html-selector-xpath-0.28-r0.apk
2024-10-25 20:12
6.2K
perl-throwable-1.001-r1.apk
2024-10-25 20:12
6.2K
perl-memoize-expirelru-0.56-r0.apk
2025-06-08 16:33
6.2K
perl-test-www-mechanize-catalyst-doc-0.62-r0.apk
2025-04-20 04:32
6.2K
py3-flake8-debugger-4.1.2-r4.apk
2024-10-25 20:12
6.2K
git-graph-doc-0.6.0-r0.apk
2024-11-25 23:38
6.2K
schismtracker-doc-20231029-r0.apk
2024-10-25 20:12
6.2K
deadbeef-soxr-20180801-r0.apk
2024-10-25 20:11
6.2K
py3-dbus-fast-doc-2.44.1-r0.apk
2025-05-02 05:27
6.2K
py3-spinners-pyc-0.0.24-r5.apk
2024-10-25 20:12
6.2K
py3-flask-dbconfig-pyc-0.3.12-r8.apk
2024-10-25 20:12
6.3K
py3-dweepy-pyc-0.3.0-r7.apk
2024-10-25 20:12
6.3K
lua5.2-psl-0.3-r0.apk
2024-10-25 20:11
6.3K
perl-devel-refcount-0.10-r2.apk
2025-07-01 19:19
6.3K
php81-gettext-8.1.33-r0.apk
2025-07-02 22:19
6.3K
kgraphviewer-dev-2.5.0-r0.apk
2024-10-25 20:11
6.3K
quickjs-ng-doc-0.10.1-r0.apk
2025-05-16 17:24
6.3K
ocp-index-emacs-1.3.6-r0.apk
2024-10-25 20:12
6.3K
py3-pbkdf2-1.3-r7.apk
2024-10-25 20:12
6.3K
openfortivpn-doc-1.22.1-r0.apk
2024-12-11 21:31
6.3K
lua5.3-psl-0.3-r0.apk
2024-10-25 20:11
6.3K
gron-doc-0.7.1-r26.apk
2025-07-10 02:27
6.3K
bgpq4-doc-1.15-r0.apk
2024-10-25 20:11
6.3K
py3-click-threading-0.5.0-r5.apk
2024-10-25 20:12
6.3K
php81-shmop-8.1.33-r0.apk
2025-07-02 22:19
6.4K
perl-crypt-saltedhash-doc-0.09-r5.apk
2024-10-25 20:12
6.4K
perl-http-xsheaders-doc-0.400005-r2.apk
2025-07-01 19:19
6.4K
py3-lsp-black-pyc-2.0.0-r1.apk
2024-10-25 20:12
6.4K
py3-ticket-auth-pyc-0.1.4-r9.apk
2024-10-25 20:12
6.4K
lua5.1-psl-0.3-r0.apk
2024-10-25 20:11
6.4K
jacktrip-doc-2.5.1-r0.apk
2025-04-17 23:03
6.4K
pantalaimon-doc-0.10.5-r4.apk
2024-10-25 20:12
6.4K
perl-test-kwalitee-1.28-r0.apk
2025-07-12 13:43
6.4K
upterm-doc-0.14.3-r6.apk
2025-07-10 02:27
6.4K
perl-lwp-useragent-cached-0.08-r1.apk
2024-10-25 20:12
6.4K
perl-indirect-doc-0.39-r2.apk
2025-07-01 19:19
6.4K
perl-test-perl-critic-doc-1.04-r0.apk
2025-07-11 16:50
6.4K
libbamf-dev-0.5.6-r1.apk
2024-10-25 20:11
6.4K
ruby-path_expander-1.1.3-r0.apk
2025-07-06 18:48
6.4K
perl-data-section-0.200008-r0.apk
2025-07-07 17:02
6.4K
perl-test-memorygrowth-0.05-r0.apk
2024-10-25 20:12
6.4K
rss-email-doc-0.5.0-r0.apk
2024-10-25 20:12
6.4K
perl-catalyst-plugin-session-store-dbic-doc-0.14-r0.apk
2025-06-13 18:18
6.4K
mlxl-0.1-r0.apk
2024-10-25 20:11
6.4K
pipectl-0.4.1-r1.apk
2024-10-25 20:12
6.4K
py3-python-jwt-pyc-4.1.0-r2.apk
2025-05-15 21:24
6.5K
clinfo-doc-3.0.23.01.25-r0.apk
2024-10-25 20:11
6.5K
plfit-dev-1.0.1-r0.apk
2025-01-04 03:47
6.5K
perl-catalyst-model-adaptor-0.10-r0.apk
2025-04-13 14:57
6.5K
ocaml-mmap-dev-1.2.0-r3.apk
2024-10-25 20:12
6.5K
repgrep-doc-0.15.0-r0.apk
2024-10-25 20:12
6.5K
lsix-1.8.2-r0.apk
2024-10-25 20:11
6.5K
lol-html-dev-1.1.1-r1.apk
2024-10-25 20:11
6.5K
ovpncc-doc-0.1_rc1-r0.apk
2024-10-25 20:12
6.5K
imediff-doc-2.6-r1.apk
2024-10-25 20:11
6.5K
py3-pymsteams-pyc-0.2.5-r0.apk
2025-02-17 09:34
6.5K
perl-tickit-widget-scrollbox-doc-0.12-r0.apk
2024-10-25 20:12
6.5K
watchbind-doc-0.2.1-r1.apk
2024-10-25 20:13
6.6K
perl-syntax-operator-equ-doc-0.10-r1.apk
2025-07-01 19:19
6.6K
cutechess-cli-doc-1.3.1-r0.apk
2024-10-25 20:11
6.6K
perl-minion-backend-redis-doc-0.003-r0.apk
2024-10-25 20:12
6.6K
dcnnt-doc-0.10.0-r1.apk
2024-10-25 20:11
6.6K
py3-requests-wsgi-adapter-pyc-0.4.1-r1.apk
2024-10-25 20:12
6.6K
serie-doc-0.4.6-r0.apk
2025-05-05 08:10
6.6K
prometheus-ipmi-exporter-doc-1.8.0-r5.apk
2025-05-12 07:42
6.6K
bmk-doc-0.1-r0.apk
2025-06-21 07:06
6.6K
py3-sphinxcontrib-git-pyc-11.0.0-r7.apk
2024-10-25 20:12
6.6K
py3-tailer-pyc-0.4.1-r7.apk
2024-10-25 20:12
6.6K
qtmir-dev-0.7.2_git20250407-r1.apk
2025-06-28 18:16
6.6K
emacs-persist-0.6_git20240114-r0.apk
2024-10-25 20:11
6.6K
php85-pecl-uploadprogress-2.0.2-r0.apk
2025-07-02 18:59
6.6K
apk-snap-3.1.1-r0.apk
2024-10-25 20:10
6.6K
ovos-messagebus-pyc-0.0.10-r0.apk
2025-04-08 07:43
6.6K
py3-rst.linker-pyc-2.6.0-r0.apk
2024-10-25 20:12
6.6K
perl-text-table-any-doc-0.117-r0.apk
2024-10-25 20:12
6.7K
perl-devel-confess-doc-0.009004-r0.apk
2024-10-25 20:12
6.7K
debconf-utils-1.5.82-r0.apk
2024-10-25 20:11
6.7K
php81-pecl-uploadprogress-2.0.2-r1.apk
2024-10-25 20:12
6.7K
kubeone-bash-completion-1.10.0-r1.apk
2025-07-10 02:27
6.7K
php81-pecl-uuid-1.3.0-r0.apk
2025-05-12 23:18
6.7K
php85-shmop-8.5.0_alpha2-r0.apk
2025-07-16 04:02
6.7K
extremetuxracer-doc-0.8.3-r0.apk
2024-10-25 20:11
6.7K
perl-storable-improved-0.1.3-r0.apk
2024-10-25 20:12
6.7K
py3-jaraco.stream-3.0.4-r0.apk
2024-12-14 22:56
6.7K
amber-mpris-dev-1.2.9-r0.apk
2024-12-22 17:04
6.7K
py3-flake8-print-5.0.0-r5.apk
2024-10-25 20:12
6.7K
py3-grequests-0.7.0-r3.apk
2025-05-14 18:17
6.7K
rattler-build-doc-0.18.0-r0.apk
2024-10-25 20:12
6.7K
perl-test-files-0.26-r0.apk
2024-10-25 20:12
6.7K
perl-catalyst-authentication-credential-http-doc-1.018-r0.apk
2025-04-04 05:40
6.8K
zarchive-dev-0.1.2-r2.apk
2024-10-25 20:13
6.8K
n30f-2.0-r3.apk
2024-10-25 20:11
6.8K
perl-b-hooks-op-check-0.22-r1.apk
2025-07-01 19:19
6.8K
py3-ovos-stt-plugin-server-pyc-0.0.4_alpha4-r1.apk
2024-10-25 20:12
6.8K
cpiped-0.1.0-r0.apk
2024-10-25 20:11
6.8K
py3-uacme-desec-pyc-1.2.1-r0.apk
2024-10-25 20:12
6.8K
cyrus-sasl-xoauth2-0.2-r1.apk
2024-10-25 20:11
6.8K
kompose-zsh-completion-1.31.2-r11.apk
2025-07-10 02:27
6.8K
perl-cgi-struct-doc-1.21-r0.apk
2025-03-14 16:31
6.8K
perl-minion-backend-sqlite-doc-5.0.7-r0.apk
2024-10-25 20:12
6.8K
perl-cairo-gobject-1.005-r5.apk
2025-07-01 19:19
6.8K
networkmanager-dmenu-doc-2.6.1-r0.apk
2025-07-23 08:14
6.8K
perl-test-file-doc-1.995-r0.apk
2025-04-19 17:54
6.8K
isoinfo-0_git20131217-r1.apk
2024-10-25 20:11
6.8K
pwauth-doc-2.3.11-r2.apk
2024-10-25 20:12
6.8K
finger-0.5-r0.apk
2024-10-25 20:11
6.8K
xload-1.1.4-r0.apk
2024-10-25 20:13
6.8K
py3-pytest-datadir-1.7.2-r0.apk
2025-07-12 21:59
6.8K
perl-archive-extract-doc-0.88-r1.apk
2024-10-25 20:12
6.8K
qoi-dev-0.0.0_git20230312-r0.apk
2024-10-25 20:12
6.8K
perl-shell-config-generate-doc-0.34-r0.apk
2025-06-08 16:33
6.8K
termcolor-dev-2.1.0-r0.apk
2024-10-25 20:12
6.8K
perl-test-perl-critic-1.04-r0.apk
2025-07-11 16:50
6.8K
prosody-mod-muc_cloud_notify-0.11_hg20201208-r0.apk
2024-10-25 20:12
6.9K
perl-time-timegm-0.01-r10.apk
2025-07-01 19:19
6.9K
py3-playsound-1.3.0-r1.apk
2024-10-25 20:12
6.9K
perl-x-tiny-0.22-r0.apk
2024-10-25 20:12
6.9K
py3-tailer-0.4.1-r7.apk
2024-10-25 20:12
6.9K
pixi-doc-0.24.2-r0.apk
2024-10-25 20:12
6.9K
perl-cgi-expand-2.05-r4.apk
2024-10-25 20:12
6.9K
halp-doc-0.2.0-r0.apk
2024-10-25 20:11
6.9K
py3-banal-1.0.6-r4.apk
2024-10-25 20:12
6.9K
openslide-dev-3.4.1-r3.apk
2024-10-25 20:12
6.9K
dublin-traceroute-dev-0.4.2-r4.apk
2024-10-25 20:11
6.9K
tui-journal-doc-0.10.0-r0.apk
2024-10-25 20:13
6.9K
perl-test-kwalitee-doc-1.28-r0.apk
2025-07-12 13:43
6.9K
perl-storable-improved-doc-0.1.3-r0.apk
2024-10-25 20:12
6.9K
perl-tickit-widget-menu-doc-0.16-r0.apk
2024-10-25 20:12
6.9K
spvm-math-doc-1.006-r1.apk
2025-07-01 19:19
6.9K
json2tsv-1.2-r0.apk
2024-10-25 20:11
6.9K
raku-cbor-simple-doc-0.1.4-r0.apk
2025-06-07 07:44
7.0K
perl-constant-defer-doc-6-r5.apk
2024-10-25 20:12
7.0K
perl-crypt-saltedhash-0.09-r5.apk
2024-10-25 20:12
7.0K
py3-pytest-expect-pyc-1.1.0-r10.apk
2024-10-25 20:12
7.0K
apk-autoupdate-doc-0_git20210421-r1.apk
2024-11-18 18:00
7.0K
perl-object-array-doc-0.060-r0.apk
2024-10-25 20:12
7.0K
py3-async-lru-2.0.5-r0.apk
2025-03-19 11:46
7.0K
nkk-doc-0_git20221010-r0.apk
2024-10-25 20:11
7.0K
py3-pytap2-2.3.0-r0.apk
2024-10-25 20:12
7.0K
php81-sysvshm-8.1.33-r0.apk
2025-07-02 22:19
7.0K
py3-flask-themer-pyc-2.0.0-r2.apk
2024-10-25 20:12
7.0K
py3-pip-system-certs-4.0-r1.apk
2024-10-25 20:12
7.0K
oil-doc-0.21.0-r0.apk
2024-10-25 20:12
7.0K
php85-gettext-8.5.0_alpha2-r0.apk
2025-07-16 04:02
7.0K
libmysofa-dev-1.3.2-r0.apk
2024-10-25 20:11
7.0K
oils-for-unix-doc-0.34.0-r0.apk
2025-07-18 20:17
7.0K
tmpmail-1.2.3-r2.apk
2024-10-25 20:12
7.0K
php85-sysvshm-8.5.0_alpha2-r0.apk
2025-07-16 04:02
7.0K
xcape-1.2-r1.apk
2025-05-14 18:17
7.0K
perl-constant-generate-doc-0.17-r5.apk
2024-10-25 20:12
7.0K
spacectl-fish-completion-1.12.0-r2.apk
2025-07-10 02:27
7.1K
perl-uri-fetch-0.15-r0.apk
2024-10-25 20:12
7.1K
py3-flake8-polyfill-1.0.2-r5.apk
2024-10-25 20:12
7.1K
tiptop-doc-2.3.1-r2.apk
2024-10-25 20:12
7.1K
py3-pbkdf2-pyc-1.3-r7.apk
2024-10-25 20:12
7.1K
adjtimex-doc-1.29-r0.apk
2024-10-25 20:10
7.1K
ocaml-mirage-random-3.0.0-r3.apk
2024-10-25 20:12
7.1K
cyrus-sasl-xoauth2-static-0.2-r1.apk
2024-10-25 20:11
7.1K
py3-x-wr-timezone-pyc-2.0.1-r0.apk
2025-02-09 15:13
7.1K
perl-test-expander-2.5.1-r0.apk
2024-10-25 20:12
7.1K
boxes-doc-2.3.1-r0.apk
2024-10-25 20:11
7.1K
perl-full-1.004-r0.apk
2024-10-25 20:12
7.1K
nvim-web-devicons-doc-0.100_git20241011-r0.apk
2024-11-11 03:58
7.1K
moon-buggy-doc-1.0.51-r1.apk
2024-10-25 20:11
7.1K
libandroidfw-dev-0_git20250325-r2.apk
2025-07-15 17:27
7.1K
py3-flask-bcrypt-1.0.1-r5.apk
2024-10-25 20:12
7.1K
pmccabe-doc-2.8-r1.apk
2024-10-25 20:12
7.1K
perl-graphql-client-0.605-r0.apk
2024-10-25 20:12
7.1K
raku-digest-hmac-1.0.6_git20231116-r0.apk
2025-06-07 07:44
7.2K
pixi-bash-completion-0.24.2-r0.apk
2024-10-25 20:12
7.2K
saait-0.8-r0.apk
2024-10-25 20:12
7.2K
perl-tickit-widget-menu-0.16-r0.apk
2024-10-25 20:12
7.2K
py3-shodan-doc-1.31.0-r1.apk
2024-10-25 20:12
7.2K
py3-banal-pyc-1.0.6-r4.apk
2024-10-25 20:12
7.2K
pptpclient-doc-1.10.0-r6.apk
2025-07-01 19:19
7.2K
prosody-mod-cloud_notify-0.11_hg20201208-r0.apk
2024-10-25 20:12
7.2K
ocaml-mmap-1.2.0-r3.apk
2024-10-25 20:12
7.2K
bananui-clock-0.1.0-r0.apk
2024-10-25 20:10
7.2K
perl-devel-leak-0.03-r14.apk
2025-07-01 19:19
7.2K
ovos-phal-pyc-0.2.10-r0.apk
2025-07-15 19:29
7.2K
bchunk-1.2.2-r3.apk
2024-10-25 20:10
7.2K
litterbox-doc-1.9-r1.apk
2024-10-25 20:11
7.2K
bkt-doc-0.8.0-r0.apk
2024-10-25 20:11
7.3K
perl-log-fu-doc-0.31-r4.apk
2024-10-25 20:12
7.3K
advancescan-doc-1.18-r1.apk
2024-10-25 20:10
7.3K
serialdv-1.1.4-r1.apk
2025-02-08 23:44
7.3K
perl-color-ansi-util-0.165-r0.apk
2024-10-25 20:12
7.3K
perl-gtk2-ex-listmodelconcat-doc-11-r4.apk
2024-10-25 20:12
7.3K
keystone-dev-0.9.2-r6.apk
2024-10-25 20:11
7.3K
py3-colorthief-0.2.1-r1.apk
2024-10-25 20:12
7.3K
enlighten-0.9.2-r1.apk
2024-10-25 20:11
7.3K
jbigkit-doc-2.1-r2.apk
2024-10-25 20:11
7.3K
py3-sphinxcontrib-sqltable-2.0.0-r8.apk
2024-10-25 20:12
7.3K
perl-string-crc32-2.100-r5.apk
2025-07-01 19:19
7.4K
perl-openapi-client-doc-1.07-r0.apk
2024-10-25 20:12
7.4K
perl-test-www-mechanize-catalyst-0.62-r0.apk
2025-04-20 04:32
7.4K
perl-color-rgb-util-doc-0.609-r0.apk
2025-03-19 11:46
7.4K
perl-astro-pal-doc-1.09-r0.apk
2025-07-21 08:40
7.4K
php81-pecl-lzf-1.7.0-r0.apk
2024-10-25 20:12
7.4K
lizardfs-cgiserv-3.13.0-r17.apk
2025-06-19 08:56
7.4K
mint-x-icons-doc-1.7.2-r0.apk
2024-12-24 10:07
7.4K
py3-proglog-0.1.10-r2.apk
2024-10-25 20:12
7.4K
py3-ntplib-0.4.0-r5.apk
2024-10-25 20:12
7.4K
py3-python-archive-0.2-r7.apk
2024-10-25 20:12
7.4K
perl-constant-defer-6-r5.apk
2024-10-25 20:12
7.4K
perl-session-storage-secure-doc-1.000-r2.apk
2024-10-25 20:12
7.4K
perl-catalyst-plugin-static-simple-doc-0.37-r0.apk
2025-03-20 16:04
7.4K
libfyaml-doc-0.9-r0.apk
2024-10-25 20:11
7.4K
git2json-0.2.3-r8.apk
2024-10-25 20:11
7.4K
cliquer-dev-1.22-r2.apk
2024-10-25 20:11
7.4K
py3-more-properties-1.1.1-r3.apk
2024-10-25 20:12
7.4K
perl-string-compare-constanttime-0.321-r7.apk
2025-07-01 19:19
7.5K
perl-class-accessor-grouped-doc-0.10014-r2.apk
2024-10-25 20:12
7.5K
py3-columnize-pyc-0.3.11-r4.apk
2024-10-25 20:12
7.5K
perl-ryu-async-0.020-r0.apk
2024-10-25 20:12
7.5K
cscope-doc-15.9-r1.apk
2024-10-25 20:11
7.5K
py3-pickle-secure-0.99.9-r1.apk
2024-10-25 20:12
7.5K
py3-lsp-black-2.0.0-r1.apk
2024-10-25 20:12
7.5K
py3-simplespectral-1.0.0-r5.apk
2024-10-25 20:12
7.5K
cargo-udeps-doc-0.1.57-r0.apk
2025-07-14 13:20
7.5K
perl-lexical-persistence-1.023-r0.apk
2025-04-26 08:13
7.5K
wakeonlan-doc-0.42-r0.apk
2024-10-25 20:13
7.5K
aqemu-doc-0.9.4-r3.apk
2024-10-25 20:10
7.5K
perl-uri-fetch-doc-0.15-r0.apk
2024-10-25 20:12
7.5K
perl-web-scraper-0.38-r0.apk
2025-07-11 16:50
7.5K
perl-dbix-datasource-doc-0.02-r5.apk
2024-10-25 20:12
7.5K
perl-file-rename-2.02-r0.apk
2024-10-25 20:12
7.5K
gamemode-doc-1.8.2-r0.apk
2025-02-05 23:26
7.5K
py3-sphinxcontrib-blockdiag-3.0.0-r4.apk
2024-10-25 20:12
7.5K
py3-litex-hub-pythondata-cpu-naxriscv-2024.04-r0.apk
2024-10-25 20:12
7.6K
fungw-dev-1.2.1-r0.apk
2024-12-30 09:48
7.6K
py3-wg-netns-2.3.1-r1.apk
2024-10-25 20:12
7.6K
py3-eradicate-2.3.0-r2.apk
2024-10-25 20:12
7.6K
perl-lexical-persistence-doc-1.023-r0.apk
2025-04-26 08:13
7.6K
libibumad-dev-1.3.10.2-r3.apk
2024-10-25 20:11
7.6K
py3-notifymail-1.1-r8.apk
2024-10-25 20:12
7.6K
dvdbackup-doc-0.4.2-r1.apk
2024-10-25 20:11
7.6K
perl-net-jabber-bot-doc-2.1.7-r0.apk
2024-10-25 20:12
7.6K
perl-x-tiny-doc-0.22-r0.apk
2024-10-25 20:12
7.6K
ffms2-dev-5.0-r0.apk
2024-10-25 20:11
7.6K
gmic-dev-3.5.5-r0.apk
2025-07-15 08:17
7.6K
py3-sphinxcontrib-gravatar-pyc-0.1.2-r8.apk
2024-10-25 20:12
7.6K
py3-jaraco.path-3.7.2-r0.apk
2024-10-25 20:12
7.6K
perl-datetime-timezone-alias-doc-0.06-r0.apk
2024-10-25 20:12
7.6K
py3-sphinxcontrib-actdiag-3.0.0-r4.apk
2024-10-25 20:12
7.6K
opkg-doc-0.7.0-r0.apk
2024-10-25 20:12
7.6K
py3-sphinxcontrib-seqdiag-3.0.0-r5.apk
2024-10-25 20:12
7.6K
perl-email-abstract-3.010-r0.apk
2024-10-25 20:12
7.7K
py3-openapi-codec-1.3.2-r9.apk
2024-10-25 20:12
7.7K
geomyidae-doc-0.34-r2.apk
2024-10-25 20:11
7.7K
cargo-geiger-doc-0.12.0-r0.apk
2025-05-26 11:19
7.7K
arc-xfwm-20221218-r0.apk
2024-10-25 20:10
7.7K
alarmwakeup-0.2.1-r0.apk
2024-10-25 20:10
7.7K
runst-doc-0.1.7-r0.apk
2024-10-25 20:12
7.7K
arc-lighter-xfwm-20221218-r0.apk
2024-10-25 20:10
7.7K
perl-moosex-role-parameterized-1.11-r0.apk
2025-03-15 14:08
7.7K
py3-sstash-0.17-r9.apk
2024-10-25 20:12
7.7K
mat2-doc-0.13.4-r3.apk
2024-10-25 20:11
7.7K
kmscon-doc-9.0.0-r0.apk
2024-10-25 20:11
7.7K
haxe-doc-4.3.3-r1.apk
2025-03-22 14:35
7.8K
perl-test-distribution-2.00-r1.apk
2024-10-25 20:12
7.8K
walk-sor-doc-0_git20190920-r1.apk
2024-10-25 20:13
7.8K
libjodycode-3.1.1-r0.apk
2024-10-25 20:11
7.8K
perl-carp-assert-more-doc-2.9.0-r0.apk
2025-04-13 14:57
7.8K
lomiri-libusermetrics-dev-1.3.3-r1.apk
2025-02-17 09:34
7.8K
py3-bottle-session-pyc-1.0-r6.apk
2024-10-25 20:12
7.8K
perl-html-treebuilder-xpath-0.14-r0.apk
2025-07-10 02:27
7.8K
nvim-cmp-buffer-0.0.0_git20220810-r1.apk
2024-10-25 20:11
7.8K
py3-quebra-frases-pyc-0.3.7-r1.apk
2024-10-25 20:12
7.8K
perl-graphql-client-cli-0.605-r0.apk
2024-10-25 20:12
7.8K
moosefs-cgiserv-4.56.6-r2.apk
2025-06-19 08:56
7.8K
perl-string-escape-doc-2010.002-r0.apk
2025-03-29 15:58
7.8K
perl-cgi-struct-1.21-r0.apk
2025-03-14 16:31
7.8K
perl-shell-config-generate-0.34-r0.apk
2025-06-08 16:33
7.8K
perl-syntax-keyword-match-doc-0.15-r1.apk
2025-07-01 19:19
7.8K
py3-click-threading-pyc-0.5.0-r5.apk
2024-10-25 20:12
7.9K
py3-sphinxcontrib-gravatar-0.1.2-r8.apk
2024-10-25 20:12
7.9K
py3-simplesoapy-1.5.1-r7.apk
2024-10-25 20:12
7.9K
arc-dark-xfwm-20221218-r0.apk
2024-10-25 20:10
7.9K
perl-dbix-class-candy-0.005004-r0.apk
2024-10-30 06:00
7.9K
arc-darker-xfwm-20221218-r0.apk
2024-10-25 20:10
7.9K
py3-flake8-builtins-pyc-2.5.0-r0.apk
2024-12-07 21:51
7.9K
py3-pcbnewtransition-0.5.2-r0.apk
2025-07-12 15:20
7.9K
care-doc-2.3.0-r1.apk
2024-10-25 20:11
7.9K
libnfc-dev-1.8.0-r1.apk
2024-10-25 20:11
7.9K
py3-flask-themer-2.0.0-r2.apk
2024-10-25 20:12
7.9K
py3-pytest-metadata-pyc-3.1.1-r0.apk
2024-10-25 20:12
7.9K
jhead-doc-3.08-r0.apk
2024-10-25 20:11
7.9K
fulcrum-admin-1.9.8-r1.apk
2024-10-25 20:11
7.9K
perl-string-random-0.32-r2.apk
2024-10-25 20:12
7.9K
py3-sphinxcontrib-lassodomain-0.4-r8.apk
2024-10-25 20:12
7.9K
py3-flask-loopback-pyc-1.4.7-r7.apk
2024-10-25 20:12
7.9K
perl-bsd-resource-doc-1.2911-r11.apk
2025-07-01 19:19
7.9K
cargo-shuttle-zsh-completion-0.56.3-r0.apk
2025-07-22 11:45
7.9K
py3-clickclick-20.10.2-r4.apk
2024-10-25 20:12
8.0K
timoni-bash-completion-0.23.0-r6.apk
2025-07-10 02:27
8.0K
harminv-1.4.2-r1.apk
2024-10-25 20:11
8.0K
cdba-1.0-r2.apk
2024-10-25 20:11
8.0K
amiitool-2-r2.apk
2024-10-25 20:10
8.0K
stw-0.3-r0.apk
2024-10-25 20:12
8.0K
py3-flask-httpauth-4.8.0-r2.apk
2024-10-25 20:12
8.0K
py3-zope-i18nmessageid-pyc-6.1.0-r2.apk
2024-10-25 20:12
8.0K
code-minimap-doc-0.6.7-r0.apk
2024-12-12 19:38
8.0K
perl-netaddr-mac-doc-0.98-r1.apk
2024-10-25 20:12
8.0K
sigrok-cli-doc-0.7.2-r0.apk
2024-10-25 20:12
8.0K
boinc-doc-7.24.3-r0.apk
2024-10-25 20:11
8.0K
py3-simplematch-1.4-r1.apk
2024-10-25 20:12
8.0K
nfoview-doc-2.1-r0.apk
2025-04-13 10:30
8.0K
perl-tickit-widget-scrollbox-0.12-r0.apk
2024-10-25 20:12
8.0K
perl-throwable-doc-1.001-r1.apk
2024-10-25 20:12
8.0K
libretro-gong-0_git20220319-r0.apk
2024-10-25 20:11
8.0K
perl-math-random-isaac-xs-1.004-r9.apk
2025-07-01 19:19
8.0K
libb64-doc-2.0.0.1-r0.apk
2024-10-25 20:11
8.0K
ocaml-omake-doc-0.10.6-r0.apk
2024-10-25 20:12
8.0K
vmtouch-doc-1.3.1-r0.apk
2024-10-25 20:13
8.0K
exabgp-doc-4.2.24-r0.apk
2025-03-15 22:11
8.0K
perl-dbix-introspector-0.001005-r4.apk
2024-10-25 20:12
8.1K
ocaml-result-dev-1.5-r2.apk
2024-10-25 20:12
8.1K
perl-signature-attribute-checked-0.06-r1.apk
2025-07-01 19:19
8.1K
py3-ly-doc-0.9.9-r0.apk
2025-07-12 21:56
8.1K
py3-python-jwt-4.1.0-r2.apk
2025-05-15 21:24
8.1K
agrep-0.8.0-r2.apk
2024-10-25 20:10
8.1K
perl-text-table-any-0.117-r0.apk
2024-10-25 20:12
8.1K
py3-ovos-ocp-m3u-plugin-0.0.2-r0.apk
2024-10-25 20:12
8.1K
perl-web-scraper-doc-0.38-r0.apk
2025-07-11 16:50
8.1K
py3-jaraco.stream-pyc-3.0.4-r0.apk
2024-12-14 22:56
8.1K
py3-simplespectral-pyc-1.0.0-r5.apk
2024-10-25 20:12
8.1K
cliquer-1.22-r2.apk
2024-10-25 20:11
8.1K
flowd-dev-0.9.1-r11.apk
2025-07-01 19:19
8.1K
libcorkipset-dev-1.1.1-r4.apk
2024-10-25 20:11
8.1K
ttfautohint-doc-1.8.4-r0.apk
2024-10-25 20:13
8.1K
perl-list-binarysearch-xs-doc-0.09-r2.apk
2025-07-01 19:19
8.1K
perl-adapter-async-0.019-r0.apk
2024-10-25 20:12
8.1K
logc-0.5.0-r1.apk
2025-06-13 14:22
8.1K
py3-pygelbooru-0.5.0-r4.apk
2024-10-25 20:12
8.1K
libmhash-doc-0.9.9.9-r3.apk
2024-10-25 20:11
8.2K
rtl-power-fftw-doc-20200601-r4.apk
2024-10-25 20:12
8.2K
wol-lang-0.7.1-r3.apk
2024-10-25 20:13
8.2K
tuned-profiles-2.25.1-r2.apk
2025-05-28 10:42
8.2K
php81-sysvmsg-8.1.33-r0.apk
2025-07-02 22:19
8.2K
py3-ovos-ocp-rss-plugin-0.1.1-r0.apk
2025-07-15 20:03
8.2K
libzn_poly-dev-0.9.2-r2.apk
2024-10-25 20:11
8.2K
queercat-1.0.0-r0.apk
2024-10-25 20:12
8.2K
perl-moosex-nonmoose-0.27-r1.apk
2025-06-19 10:55
8.2K
fungw-c-1.2.1-r0.apk
2024-12-30 09:48
8.2K
py3-flask-paginate-0.8.1-r6.apk
2024-10-25 20:12
8.2K
py3-more-properties-pyc-1.1.1-r3.apk
2024-10-25 20:12
8.2K
py3-ovos-audio-plugin-simple-pyc-0.0.1-r0.apk
2024-10-25 20:12
8.2K
ruby-dry-inflector-1.1.0-r1.apk
2025-04-23 21:27
8.2K
bootinfo-pyc-0.1.0-r4.apk
2024-10-25 20:11
8.2K
wput-doc-0.6.2-r4.apk
2024-10-25 20:13
8.2K
py3-openssh-wrapper-0.5_git20130425-r4.apk
2024-10-25 20:12
8.2K
hiprompt-gtk-py-0.8.0-r0.apk
2024-10-25 20:11
8.3K
perl-daemon-control-doc-0.001010-r2.apk
2024-10-25 20:12
8.3K
ocaml-mirage-time-dev-3.0.0-r4.apk
2024-10-25 20:12
8.3K
perl-data-checks-doc-0.10-r1.apk
2025-07-01 19:19
8.3K
perl-syntax-operator-equ-0.10-r1.apk
2025-07-01 19:19
8.3K
cargo-update-doc-16.2.1-r0.apk
2025-03-23 13:24
8.3K
disfetch-3.7-r0.apk
2024-10-25 20:11
8.3K
meson-tools-doc-0.1-r2.apk
2024-12-09 16:38
8.3K
php81-pecl-maxminddb-1.12.1-r0.apk
2025-05-07 11:53
8.3K
perl-data-visitor-doc-0.32-r0.apk
2025-03-19 14:53
8.3K
apache2-mod-authnz-external-3.3.3-r0.apk
2024-10-25 20:10
8.3K
py3-ovos-translate-server-plugin-0.0.0-r0.apk
2024-10-25 20:12
8.3K
py3-junit-xml-1.9-r3.apk
2024-10-25 20:12
8.3K
sxcs-1.1.0-r0.apk
2024-10-25 20:12
8.3K
py3-eradicate-pyc-2.3.0-r2.apk
2024-10-25 20:12
8.3K
perl-test-lwp-useragent-doc-0.036-r0.apk
2024-10-25 20:12
8.3K
perl-uri-db-doc-0.23-r0.apk
2025-01-09 05:27
8.4K
tmux-resurrect-doc-4.0.0-r0.apk
2024-10-25 20:12
8.4K
perl-test-timer-doc-2.12-r2.apk
2024-10-25 20:12
8.4K
btpd-doc-0.16-r2.apk
2024-10-25 20:11
8.4K
py3-dotty-dict-1.3.1-r4.apk
2024-10-25 20:12
8.4K
limnoria-doc-20240828-r0.apk
2024-10-25 20:11
8.4K
py3-landlock-1.0.0_pre4-r2.apk
2024-10-25 20:12
8.4K
py3-python-logstash-pyc-0.4.8-r4.apk
2024-10-25 20:12
8.4K
ruby-hashdiff-1.1.1-r1.apk
2025-04-23 21:27
8.4K
tty-clock-2.3_git20240104-r0.apk
2024-10-25 20:13
8.4K
mediastreamer2-plugin-x264-20200722-r6.apk
2024-10-25 20:11
8.4K
php81-pspell-8.1.33-r0.apk
2025-07-02 22:19
8.4K
libirecovery-progs-1.2.1-r0.apk
2024-10-30 22:44
8.4K
flatseal-doc-2.3.1-r0.apk
2025-06-19 15:30
8.5K
perl-dbix-introspector-doc-0.001005-r4.apk
2024-10-25 20:12
8.5K
perl-dancer-plugin-passphrase-doc-2.0.1-r4.apk
2024-10-25 20:12
8.5K
foma-dev-0.10.0_git20240712-r0.apk
2024-10-25 20:11
8.5K
perl-future-asyncawait-hooks-0.02-r1.apk
2025-07-01 19:19
8.5K
sshuttle-doc-1.1.2-r0.apk
2024-10-25 20:12
8.5K
ssh-honeypot-0.1.1-r1.apk
2024-10-25 20:12
8.5K
py3-async-lru-pyc-2.0.5-r0.apk
2025-03-19 11:46
8.5K
meson-tools-0.1-r2.apk
2024-12-09 16:38
8.5K
perl-term-ui-doc-0.50-r1.apk
2024-10-25 20:12
8.5K
lgogdownloader-doc-3.16-r1.apk
2025-03-25 15:24
8.5K
perl-smart-comments-doc-1.06-r0.apk
2025-07-07 17:02
8.5K
s-dkim-sign-doc-0.6.2-r0.apk
2024-10-25 20:12
8.5K
sylpheed-imap-notify-1.1.0-r2.apk
2024-10-25 20:12
8.5K
py3-columnize-0.3.11-r4.apk
2024-10-25 20:12
8.5K
php85-sysvmsg-8.5.0_alpha2-r0.apk
2025-07-16 04:02
8.5K
perl-app-find2perl-1.005-r0.apk
2025-07-21 08:40
8.5K
py3-playsound-pyc-1.3.0-r1.apk
2024-10-25 20:12
8.5K
perl-net-netmask-doc-2.0003-r0.apk
2025-05-18 04:10
8.5K
perl-moosex-methodattributes-0.32-r0.apk
2025-03-19 11:46
8.6K
pounce-doc-3.1-r3.apk
2024-10-25 20:12
8.6K
prosody-mod-smacks-0.11_hg20201208-r0.apk
2024-10-25 20:12
8.6K
logc-dev-0.5.0-r1.apk
2025-06-13 14:22
8.6K
py3-python-logstash-0.4.8-r4.apk
2024-10-25 20:12
8.6K
perl-catalyst-authentication-credential-http-1.018-r0.apk
2025-04-04 05:40
8.6K
rustic-bash-completion-0.9.3-r0.apk
2024-10-25 20:12
8.6K
py3-vatnumber-pyc-1.2-r9.apk
2024-10-25 20:12
8.6K
py3-ntplib-pyc-0.4.0-r5.apk
2024-10-25 20:12
8.6K
winetricks-bash-completion-20250102-r0.apk
2025-02-05 22:20
8.6K
libcyaml-doc-1.4.2-r0.apk
2024-10-25 20:11
8.6K
sqruff-doc-0.25.26-r0.apk
2025-04-13 20:32
8.6K
perl-openapi-client-1.07-r0.apk
2024-10-25 20:12
8.6K
thermald-doc-2.5.9-r0.apk
2025-04-25 06:18
8.7K
createrepo_c-doc-1.1.4-r0.apk
2024-10-25 20:11
8.7K
cvs-fast-export-tools-1.65-r0.apk
2024-10-25 20:11
8.7K
daktilo-doc-0.6.0-r0.apk
2024-10-25 20:11
8.7K
py3-cucumber-tag-expressions-6.1.1-r0.apk
2024-12-01 20:13
8.7K
perl-sort-naturally-1.03-r4.apk
2024-10-25 20:12
8.7K
py3-dotty-dict-pyc-1.3.1-r4.apk
2024-10-25 20:12
8.7K
quodlibet-doc-4.6.0-r2.apk
2025-05-12 21:41
8.7K
subdl-0_git20230616-r1.apk
2024-10-25 20:12
8.7K
pinentry-bemenu-0.14.0-r1.apk
2025-07-06 00:16
8.7K
mod_dnssd-0.6-r0.apk
2024-10-25 20:11
8.7K
perl-catalyst-plugin-static-simple-0.37-r0.apk
2025-03-20 16:04
8.7K
py3-uptime-pyc-3.0.1-r9.apk
2024-10-25 20:12
8.7K
py3-certauth-1.3.0-r1.apk
2024-10-25 20:12
8.7K
py3-class-doc-pyc-1.25-r1.apk
2024-10-25 20:12
8.7K
py3-sphinxcontrib-nwdiag-2.0.0-r7.apk
2024-10-25 20:12
8.7K
mm-1.4.2-r1.apk
2024-10-25 20:11
8.7K
p910nd-0.97-r2.apk
2024-10-25 20:12
8.7K
h4h5tools-dev-2.2.5-r4.apk
2024-10-25 20:11
8.8K
raku-functionalparsers-doc-0.1.10-r0.apk
2025-07-21 08:40
8.8K
perl-constant-generate-0.17-r5.apk
2024-10-25 20:12
8.8K
perl-promise-xs-doc-0.20-r2.apk
2025-07-01 19:19
8.8K
py3-translationstring-pyc-1.4-r4.apk
2024-10-25 20:12
8.8K
rsstail-2.2-r0.apk
2025-02-13 07:17
8.8K
md5ha1-0_git20171202-r1.apk
2024-10-25 20:11
8.8K
hurl-doc-6.1.1-r0.apk
2025-05-03 17:29
8.8K
vcsh-2.0.5-r0.apk
2024-10-25 20:13
8.8K
py3-ovos-phal-plugin-connectivity-events-0.1.2-r0.apk
2025-07-15 20:03
8.8K
ocaml-lambda-term-doc-3.2.0-r4.apk
2024-10-25 20:12
8.8K
perl-crypt-random-seed-doc-0.03-r0.apk
2024-10-25 20:12
8.8K
ipp-usb-doc-0.9.30-r1.apk
2025-07-10 02:27
8.8K
py3-quebra-frases-0.3.7-r1.apk
2024-10-25 20:12
8.8K
py3-sphinx-theme-readable-1.3.0-r9.apk
2024-10-25 20:12
8.8K
perl-data-validate-ip-0.31-r1.apk
2024-10-25 20:12
8.8K
nitrocli-doc-0.4.1-r3.apk
2024-10-25 20:11
8.8K
perl-session-storage-secure-1.000-r2.apk
2024-10-25 20:12
8.8K
pam_sqlite3-1.0.2-r2.apk
2024-10-25 20:12
8.8K
ccze-doc-0.2.1-r1.apk
2024-10-25 20:11
8.8K
rofi-pass-2.0.2-r2.apk
2024-10-25 20:12
8.8K
py3-phpserialize-1.3-r8.apk
2024-10-25 20:12
8.8K
certbot-dns-pdns-0.1.1-r0.apk
2024-10-25 20:11
8.9K
tdrop-doc-0.5.0-r0.apk
2024-10-25 20:12
8.9K
libmdbx-doc-0.11.8-r0.apk
2024-10-25 20:11
8.9K
py3-print-color-0.4.6-r0.apk
2024-10-25 20:12
8.9K
libucl-doc-0.9.0-r0.apk
2024-10-25 20:11
8.9K
py3-ovos-microphone-plugin-alsa-0.1.2-r0.apk
2025-07-15 20:03
8.9K
perl-net-curl-promiser-0.20-r0.apk
2024-10-25 20:12
8.9K
perl-string-escape-2010.002-r0.apk
2025-03-29 15:58
8.9K
bananui-demos-2.0.0-r0.apk
2024-10-25 20:10
8.9K
py3-ovos-ocp-news-plugin-pyc-0.1.1-r0.apk
2025-07-15 20:03
8.9K
perl-test-timer-2.12-r2.apk
2024-10-25 20:12
8.9K
perl-object-pad-fieldattr-checked-0.12-r1.apk
2025-07-01 19:19
8.9K
perl-autobox-doc-3.0.2-r1.apk
2025-07-01 19:19
8.9K
php81-enchant-8.1.33-r0.apk
2025-07-02 22:19
8.9K
icingaweb2-module-pnp-1.1.0-r1.apk
2024-10-25 20:11
8.9K
perl-guard-1.023-r10.apk
2025-07-01 19:19
8.9K
icesprog-0_git20240108-r1.apk
2024-10-25 20:11
8.9K
py3-pylru-pyc-1.2.1-r1.apk
2024-10-25 20:12
8.9K
py3-thefuzz-pyc-0.22.1-r1.apk
2024-10-25 20:12
8.9K
libwbxml-dev-0.11.8-r0.apk
2024-10-25 20:11
9.0K
reredirect-0.3-r0.apk
2024-10-25 20:12
9.0K
py3-forbiddenfruit-0.1.4-r2.apk
2024-10-25 20:12
9.0K
perl-rxperl-anyevent-doc-6.8.1-r0.apk
2024-10-25 20:12
9.0K
perl-carp-assert-more-2.9.0-r0.apk
2025-04-13 14:57
9.0K
py3-keepalive-0.5-r5.apk
2024-10-25 20:12
9.0K
fff-doc-2.2-r0.apk
2024-10-25 20:11
9.0K
jdupes-doc-1.28.0-r0.apk
2024-10-25 20:11
9.0K
terminology-doc-1.14.0-r0.apk
2025-05-27 22:49
9.0K
py3-sphinxcontrib-adadomain-0.2-r9.apk
2024-10-25 20:12
9.0K
perl-rxperl-ioasync-doc-6.9.1-r0.apk
2024-10-25 20:12
9.0K
libcotp-3.1.0-r0.apk
2024-10-25 20:11
9.0K
cargo-shuttle-doc-0.56.3-r0.apk
2025-07-22 11:45
9.0K
perl-number-format-doc-1.76-r1.apk
2024-10-25 20:12
9.0K
pspp-doc-2.0.1-r0.apk
2024-10-25 20:12
9.0K
freediameter-1.5.0-r1.apk
2024-10-25 20:11
9.0K
innernet-doc-1.6.1-r0.apk
2024-10-25 20:11
9.0K
pamtester-0.1.2-r4.apk
2024-10-25 20:12
9.0K
cargo-shuttle-fish-completion-0.56.3-r0.apk
2025-07-22 11:45
9.1K
bindfs-doc-1.17.7-r1.apk
2025-06-19 08:56
9.1K
perl-rxperl-mojo-doc-6.8.2-r0.apk
2024-10-25 20:12
9.1K
py3-uc-micro-py-1.0.2-r1.apk
2024-10-25 20:12
9.1K
gatling-doc-0.16-r6.apk
2024-10-25 20:11
9.1K
perl-catalyst-view-email-0.36-r0.apk
2025-04-04 08:55
9.1K
duc-doc-1.4.5-r0.apk
2024-10-25 20:11
9.1K
py3-dweepy-0.3.0-r7.apk
2024-10-25 20:12
9.1K
py3-certauth-pyc-1.3.0-r1.apk
2024-10-25 20:12
9.1K
lua5.2-luastatic-0.0.12-r1.apk
2024-10-25 20:11
9.1K
perl-future-q-doc-0.120-r0.apk
2024-10-25 20:12
9.1K
py3-sphinxcontrib-fulltoc-1.2.0-r8.apk
2024-10-25 20:12
9.1K
click-dev-0.5.2-r4.apk
2025-02-17 09:34
9.1K
perl-uri-find-doc-20160806-r0.apk
2025-03-23 07:58
9.1K
lomiri-trust-store-dev-2.0.2-r10.apk
2025-06-28 18:16
9.1K
lua-inet-0.2.0-r1.apk
2024-10-25 20:11
9.1K
lua5.3-luastatic-0.0.12-r1.apk
2024-10-25 20:11
9.1K
py3-sphinxcontrib-blockdiag-pyc-3.0.0-r4.apk
2024-10-25 20:12
9.2K
py3-translationstring-1.4-r4.apk
2024-10-25 20:12
9.2K
perl-file-find-object-0.3.9-r0.apk
2025-07-11 16:50
9.2K
py3-pymsgbox-1.0.9-r5.apk
2024-10-25 20:12
9.2K
perl-gtk3-doc-0.038-r1.apk
2024-10-25 20:12
9.2K
horust-doc-0.1.7-r2.apk
2024-10-25 20:11
9.2K
ocaml-result-1.5-r2.apk
2024-10-25 20:12
9.2K
py3-telegram-text-0.2.0-r1.apk
2024-10-25 20:12
9.2K
lua5.4-luastatic-0.0.12-r1.apk
2024-10-25 20:11
9.2K
py3-netifaces2-pyc-0.0.22-r0.apk
2024-10-25 20:12
9.2K
perl-statistics-basic-1.6611-r0.apk
2024-10-25 20:12
9.2K
py3-google-trans-new-1.1.9-r2.apk
2024-10-25 20:12
9.2K
py3-aiohttp-jinja2-pyc-1.6-r2.apk
2024-10-25 20:12
9.2K
perl-future-http-0.17-r0.apk
2024-10-25 20:12
9.2K
catdoc-doc-0.95-r1.apk
2024-10-25 20:11
9.2K
visidata-zsh-completion-3.2-r0.apk
2025-06-20 11:40
9.3K
py3-sphinxcontrib-actdiag-pyc-3.0.0-r4.apk
2024-10-25 20:12
9.3K
libiscsi-doc-1.19.0-r2.apk
2024-10-25 20:11
9.3K
perl-class-c3-doc-0.35-r1.apk
2024-10-25 20:12
9.3K
py3-sphinxcontrib-seqdiag-pyc-3.0.0-r5.apk
2024-10-25 20:12
9.3K
certbot-dns-njalla-2.0.0-r0.apk
2024-11-27 23:05
9.3K
perl-database-async-engine-postgresql-doc-1.005-r0.apk
2024-10-25 20:12
9.3K
py3-junit-xml-pyc-1.9-r3.apk
2024-10-25 20:12
9.3K
afetch-2.2.0-r1.apk
2024-10-25 20:10
9.3K
kerberoast-0.2.0-r2.apk
2025-05-29 12:07
9.3K
py3-priority-pyc-2.0.0-r0.apk
2025-07-23 06:26
9.3K
py3-protego-0.3.1-r0.apk
2024-11-30 20:18
9.3K
py3-python-archive-pyc-0.2-r7.apk
2024-10-25 20:12
9.3K
sflowtool-doc-6.02-r0.apk
2024-10-25 20:12
9.4K
supermin-doc-5.2.2-r2.apk
2024-10-25 20:12
9.4K
py3-nose-timer-1.0.1-r6.apk
2024-10-25 20:12
9.4K
openswitcher-proxy-0.5.0-r4.apk
2024-10-25 20:12
9.4K
brltty-doc-6.7-r1.apk
2025-03-29 15:58
9.4K
nbsdgames-doc-5-r0.apk
2024-10-25 20:11
9.4K
py3-pyisbn-pyc-1.3.1-r3.apk
2024-10-25 20:12
9.4K
x11docker-doc-7.6.0-r1.apk
2024-10-25 20:13
9.4K
py3-natpmp-1.3.2-r1.apk
2024-10-25 20:12
9.4K
imrsh-0_git20210320-r1.apk
2024-10-25 20:11
9.4K
perl-css-inliner-doc-4027-r0.apk
2025-06-16 11:51
9.4K
perl-moosex-nonmoose-doc-0.27-r1.apk
2025-06-19 10:55
9.4K
avarice-doc-2.14-r4.apk
2024-10-25 20:10
9.4K
py3-intervals-0.9.2-r5.apk
2024-10-25 20:12
9.4K
apt-mirror-0.5.4-r0.apk
2024-10-25 20:10
9.4K
perl-color-rgb-util-0.609-r0.apk
2025-03-19 11:46
9.5K
perl-class-c3-0.35-r1.apk
2024-10-25 20:12
9.5K
t2sz-1.1.2-r0.apk
2024-10-25 20:12
9.5K
openslide-tools-3.4.1-r3.apk
2024-10-25 20:12
9.5K
perl-dbix-class-candy-doc-0.005004-r0.apk
2024-10-30 06:00
9.5K
merge-usr-0_git20250703-r0.apk
2025-07-03 15:27
9.5K
php81-pecl-pcov-1.0.12-r0.apk
2024-12-04 17:17
9.5K
wl-screenrec-doc-0.1.7-r0.apk
2025-04-12 21:20
9.5K
py3-lib_users-pyc-0.15-r4.apk
2024-10-25 20:12
9.5K
py3-ovos-tts-server-plugin-0.0.2_alpha13-r1.apk
2024-10-25 20:12
9.5K
perl-b-utils-doc-0.27-r1.apk
2025-07-01 19:19
9.5K
py3-jaraco.path-pyc-3.7.2-r0.apk
2024-10-25 20:12
9.5K
ocaml-ppx_derivers-1.2.1-r2.apk
2024-10-25 20:12
9.5K
py3-landlock-pyc-1.0.0_pre4-r2.apk
2024-10-25 20:12
9.5K
extrace-0.9-r0.apk
2024-10-25 20:11
9.5K
perl-musicbrainz-discid-0.06-r2.apk
2025-07-01 19:19
9.5K
lomiri-indicator-network-dev-1.1.1-r0.apk
2025-05-09 17:35
9.5K
fatresize-1.1.0-r1.apk
2024-10-25 20:11
9.5K
s-postgray-doc-0.8.3-r0.apk
2024-10-25 20:12
9.6K
perl-algorithm-backoff-0.010-r0.apk
2024-10-25 20:12
9.6K
php85-pecl-uploadprogress-doc-2.0.2-r0.apk
2025-07-02 18:59
9.6K
endlessh-1.1-r1.apk
2025-05-25 07:51
9.6K
atool-doc-0.39.0-r4.apk
2024-10-25 20:10
9.6K
perl-future-q-0.120-r0.apk
2024-10-25 20:12
9.6K
rygel-doc-0.44.2-r0.apk
2025-03-19 12:55
9.6K
amdgpu-fan-pyc-0.1.0-r5.apk
2024-10-25 20:10
9.6K
irccd-dev-4.0.3-r0.apk
2024-10-25 20:11
9.6K
py3-pyrebase-3.0.27-r5.apk
2024-10-25 20:12
9.6K
perl-minion-backend-pg-10.31-r0.apk
2024-10-25 20:12
9.6K
py3-forbiddenfruit-pyc-0.1.4-r2.apk
2024-10-25 20:12
9.7K
py3-ovos-phal-plugin-oauth-pyc-0.1.3-r0.apk
2024-11-21 13:32
9.7K
keystone-python-pyc-0.9.2-r6.apk
2024-10-25 20:11
9.7K
py3-mnemonic-pyc-0.21-r0.apk
2024-10-25 20:12
9.7K
pick-4.0.0-r0.apk
2024-10-25 20:12
9.7K
py3-iso639-lang-pyc-2.2.3-r0.apk
2024-10-25 20:12
9.7K
perl-dancer-plugin-passphrase-2.0.1-r4.apk
2024-10-25 20:12
9.7K
py3-itunespy-1.6-r5.apk
2025-05-14 18:17
9.7K
perl-net-amqp-rabbitmq-doc-2.40012-r1.apk
2025-07-01 19:19
9.8K
console_bridge-1.0.2-r0.apk
2024-10-25 20:11
9.8K
py3-pep8-naming-0.14.1-r0.apk
2024-10-25 20:12
9.8K
perl-data-visitor-0.32-r0.apk
2025-03-19 14:53
9.8K
emacs-hnreader-0_git20221116-r0.apk
2024-10-25 20:11
9.8K
py3-clickclick-pyc-20.10.2-r4.apk
2024-10-25 20:12
9.8K
perl-net-async-redis-xs-1.001-r2.apk
2025-07-01 19:19
9.8K
perl-hash-ordered-0.014-r0.apk
2024-10-25 20:12
9.8K
nsnake-3.0.0-r0.apk
2024-10-25 20:11
9.8K
handlebars-utils-1.0.0-r1.apk
2024-10-25 20:11
9.8K
perl-io-handle-util-doc-0.02-r0.apk
2025-06-11 16:35
9.8K
php81-pecl-csv-0.4.3-r0.apk
2025-02-25 15:45
9.8K
perl-test-lwp-useragent-0.036-r0.apk
2024-10-25 20:12
9.8K
py3-synapse-auto-accept-invite-1.2.0-r0.apk
2024-10-25 20:12
9.8K
py3-pymsgbox-pyc-1.0.9-r5.apk
2024-10-25 20:12
9.8K
perl-freezethaw-0.5001-r2.apk
2024-10-25 20:12
9.8K
py3-pcbnewtransition-pyc-0.5.2-r0.apk
2025-07-12 15:20
9.9K
py3-natpmp-pyc-1.3.2-r1.apk
2024-10-25 20:12
9.9K
perl-test-toolbox-0.4-r5.apk
2024-10-25 20:12
9.9K
py3-priority-2.0.0-r0.apk
2025-07-23 06:26
9.9K
perl-test-modern-doc-0.013-r3.apk
2024-10-25 20:12
9.9K
apt-dater-doc-1.0.4-r4.apk
2024-10-25 20:10
9.9K
php84-pecl-csv-0.4.3-r0.apk
2025-02-25 15:45
9.9K
libvoikko-dev-4.3.2-r1.apk
2024-10-25 20:11
9.9K
py3-typing_inspect-0.9.0-r2.apk
2024-10-25 20:12
9.9K
py3-nose-timer-pyc-1.0.1-r6.apk
2024-10-25 20:12
9.9K
py3-proglog-pyc-0.1.10-r2.apk
2024-10-25 20:12
9.9K
perl-html-tableextract-doc-2.15-r4.apk
2024-10-25 20:12
9.9K
php81-pecl-uploadprogress-doc-2.0.2-r1.apk
2024-10-25 20:12
9.9K
emacs-llama-0.3.1_git20240722-r0.apk
2024-10-25 20:11
9.9K
py3-uptime-3.0.1-r9.apk
2024-10-25 20:12
9.9K
libuecc-7-r4.apk
2025-03-03 16:06
9.9K
ovos-messagebus-0.0.10-r0.apk
2025-04-08 07:43
10K
lolcat-1.4-r0.apk
2024-10-25 20:11
10K
perl-list-binarysearch-0.25-r0.apk
2024-10-25 20:12
10K
tree-sitter-git-diff-0_git20230730-r0.apk
2024-10-25 20:12
10K
py3-ovos-audio-plugin-simple-0.0.1-r0.apk
2024-10-25 20:12
10K
perl-syntax-operator-in-0.10-r1.apk
2025-07-01 19:19
10K
mrsh-dev-0_git20210518-r1.apk
2024-10-25 20:11
10K
perl-term-ui-0.50-r1.apk
2024-10-25 20:12
10K
cargo-show-asm-doc-0.2.51-r0.apk
2025-07-14 13:20
10K
perl-starman-doc-0.4017-r0.apk
2024-10-25 20:12
10K
py3-thefuzz-0.22.1-r1.apk
2024-10-25 20:12
10K
py3-aiohttp-remotes-1.3.0-r0.apk
2024-11-04 12:28
10K
thunarx-python-0.5.2-r2.apk
2024-10-25 20:12
10K
apache2-mod-authnz-external-doc-3.3.3-r0.apk
2024-10-25 20:10
10K
py3-colorthief-pyc-0.2.1-r1.apk
2024-10-25 20:12
10K
py3-minidb-2.0.8-r0.apk
2024-11-13 18:50
10K
py3-ovos-phal-plugin-system-pyc-1.3.3-r0.apk
2025-07-15 17:07
10K
opkg-0.7.0-r0.apk
2024-10-25 20:12
10K
pixi-fish-completion-0.24.2-r0.apk
2024-10-25 20:12
10K
perl-test-www-mechanize-doc-1.60-r0.apk
2025-04-13 14:57
10K
py3-ovos-stt-plugin-server-0.0.4_alpha4-r1.apk
2024-10-25 20:12
10K
flowd-doc-0.9.1-r11.apk
2025-07-01 19:19
10K
ansible-bender-doc-0.10.1-r2.apk
2024-10-25 20:10
10K
py3-pygtail-pyc-0.14.0-r3.apk
2024-10-25 20:12
10K
perl-html-query-doc-0.09-r0.apk
2025-06-16 11:51
10K
php85-enchant-8.5.0_alpha2-r0.apk
2025-07-16 04:02
10K
perl-minion-backend-sqlite-5.0.7-r0.apk
2024-10-25 20:12
10K
dsp-doc-2.0-r0.apk
2025-05-12 07:44
10K
msr-tools-1.3-r1.apk
2024-10-25 20:11
10K
knative-client-bash-completion-1.18.0-r1.apk
2025-07-10 02:27
10K
fatrace-0.17.0-r0.apk
2024-10-25 20:11
10K
nvim-gruvbox-0.0.0_git20221212-r1.apk
2024-10-25 20:11
10K
noice-0.8-r1.apk
2024-10-25 20:11
10K
py3-jaraco.vcs-2.4.1-r0.apk
2025-03-09 08:52
10K
perl-system-command-doc-1.122-r0.apk
2024-10-25 20:12
10K
py3-pytest-metadata-3.1.1-r0.apk
2024-10-25 20:12
10K
py3-bottle-session-1.0-r6.apk
2024-10-25 20:12
10K
nullmailer-doc-2.2-r4.apk
2024-10-25 20:11
10K
sway-audio-idle-inhibit-0.1.2-r0.apk
2024-10-25 20:12
10K
py3-sphinxcontrib-mermaid-1.0.0-r0.apk
2025-05-08 12:15
10K
py3-glob2-0.7-r6.apk
2024-10-25 20:12
10K
shntool-doc-3.0.10-r5.apk
2025-02-24 21:15
10K
py3-sstash-pyc-0.17-r9.apk
2024-10-25 20:12
10K
perl-full-doc-1.004-r0.apk
2024-10-25 20:12
10K
proot-doc-5.4.0-r1.apk
2024-10-25 20:12
10K
alttab-doc-1.7.1-r0.apk
2024-10-25 20:10
10K
py3-tls_parser-2.0.2-r0.apk
2025-07-14 03:36
10K
nvim-plenary-doc-0.1.4_git20240917-r0.apk
2024-11-11 04:07
10K
perl-anyevent-riperedis-doc-0.48-r0.apk
2024-10-25 20:12
10K
wch-isp-0.4.1-r2.apk
2024-10-25 20:13
10K
perl-catalyst-plugin-configloader-doc-0.35-r0.apk
2025-03-20 16:04
10K
perl-digest-crc-0.24-r2.apk
2025-07-01 19:19
10K
py3-iniparse-doc-0.5-r7.apk
2024-10-25 20:12
10K
libsds-2.0.0-r1.apk
2024-10-25 20:11
10K
neko-dev-2.3.0-r0.apk
2024-11-20 22:26
10K
perl-pod-tidy-0.10-r1.apk
2024-10-25 20:12
10K
lomiri-schemas-0.1.8-r1.apk
2025-06-28 18:16
10K
snapper-dev-0.12.2-r0.apk
2025-05-06 12:27
10K
libfishsound-1.0.0-r1.apk
2024-10-25 20:11
10K
emacs-embark-consult-1.1-r0.apk
2025-04-23 21:27
10K
docker-auth-doc-1.13.0-r6.apk
2025-07-13 21:39
10K
py3-cucumber-tag-expressions-pyc-6.1.1-r0.apk
2024-12-01 20:13
10K
py3-openssh-wrapper-pyc-0.5_git20130425-r4.apk
2024-10-25 20:12
10K
pixi-zsh-completion-0.24.2-r0.apk
2024-10-25 20:12
10K
perl-text-worddiff-0.09-r0.apk
2025-06-09 16:26
10K
py3-aiohttp-session-2.12.1-r0.apk
2024-10-25 20:12
10K
dufs-doc-0.43.0-r0.apk
2025-01-23 19:05
10K
perl-clipboard-0.32-r0.apk
2025-05-18 15:45
10K
py3-bencode-pyc-4.0.0-r1.apk
2024-10-25 20:12
10K
nvim-cmp-doc-0.0.0_git20221011-r1.apk
2024-10-25 20:11
10K
ovos-phal-0.2.10-r0.apk
2025-07-15 19:29
10K
perl-minion-backend-redis-0.003-r0.apk
2024-10-25 20:12
11K
perl-log-fu-0.31-r4.apk
2024-10-25 20:12
11K
raku-io-path-childsecure-1.2-r0.apk
2025-06-07 07:44
11K
uclient-fetch-20241022-r0.apk
2025-05-29 22:00
11K
py3-sphinx-theme-better-0.1.5-r7.apk
2024-10-25 20:12
11K
py3-sphinxcontrib-inheritance-0.9.0-r9.apk
2024-10-25 20:12
11K
perl-math-int64-doc-0.57-r2.apk
2025-07-01 19:19
11K
perl-pod-tidy-doc-0.10-r1.apk
2024-10-25 20:12
11K
py3-sphinxcontrib-htsql-0.1.5-r8.apk
2024-10-25 20:12
11K
tuned-utils-2.25.1-r2.apk
2025-05-28 10:42
11K
ydcv-pyc-0.7-r8.apk
2024-10-25 20:13
11K
screen-message-0.29-r0.apk
2025-04-13 11:54
11K
py3-google-trans-new-pyc-1.1.9-r2.apk
2024-10-25 20:12
11K
py3-flask-httpauth-pyc-4.8.0-r2.apk
2024-10-25 20:12
11K
py3-sphinxcontrib-lassodomain-pyc-0.4-r8.apk
2024-10-25 20:12
11K
perl-log-message-0.08-r3.apk
2024-10-25 20:12
11K
ocaml-extlib-doc-1.7.9-r2.apk
2024-10-25 20:12
11K
py3-py-radix-pyc-0.10.0-r10.apk
2024-10-25 20:12
11K
perl-io-handle-util-0.02-r0.apk
2025-06-11 16:35
11K
py3-businesstime-0.3.0-r9.apk
2024-10-25 20:12
11K
qstardict-doc-2.0.2-r1.apk
2024-11-24 03:04
11K
php81-bz2-8.1.33-r0.apk
2025-07-02 22:19
11K
py3-sortedcollections-2.1.0-r5.apk
2024-10-25 20:12
11K
netsed-1.3-r3.apk
2024-10-25 20:11
11K
wpa_actiond-1.4-r7.apk
2024-10-25 20:13
11K
perl-catalystx-simplelogin-0.21-r0.apk
2025-05-07 08:26
11K
py3-pydes-2.0.1-r5.apk
2024-10-25 20:12
11K
php83-pecl-jsmin-3.0.0-r0.apk
2024-10-25 20:12
11K
php82-pecl-jsmin-3.0.0-r0.apk
2024-10-25 20:12
11K
py3-flask-flatpages-0.8.3-r0.apk
2024-12-06 22:58
11K
py3-phpserialize-pyc-1.3-r8.apk
2024-10-25 20:12
11K
perl-data-clone-0.006-r1.apk
2025-07-01 19:19
11K
php81-pecl-jsmin-3.0.0-r0.apk
2024-10-25 20:12
11K
py3-riotctrl-pyc-0.5.0-r4.apk
2024-10-25 20:12
11K
perl-promise-es6-0.28-r0.apk
2024-10-25 20:12
11K
fff-2.2-r0.apk
2024-10-25 20:11
11K
tick-1.2.2-r0.apk
2025-01-14 01:26
11K
cgo-0.6.1-r1.apk
2024-10-25 20:11
11K
perl-catalyst-view-email-doc-0.36-r0.apk
2025-04-04 08:55
11K
xvkbd-doc-4.1-r2.apk
2024-10-25 20:13
11K
py3-click-completion-0.5.2-r1.apk
2024-10-25 20:12
11K
perl-ref-util-xs-0.117-r9.apk
2025-07-01 19:19
11K
ifuse-1.1.4-r5.apk
2024-10-30 22:44
11K
turn-rs-doc-3.4.0-r1.apk
2025-06-12 14:06
11K
linuxptp-phc_ctl-4.4-r0.apk
2024-11-18 18:00
11K
toapk-1.0-r0.apk
2024-10-25 20:12
11K
evolution-on-3.24.4-r0.apk
2024-10-30 14:24
11K
perl-netaddr-mac-0.98-r1.apk
2024-10-25 20:12
11K
hsetroot-1.0.5-r1.apk
2024-10-25 20:11
11K
ruby-appraisal-2.5.0-r0.apk
2025-05-25 23:52
11K
py3-flask-bootstrap-pyc-3.3.7.1-r9.apk
2025-05-12 21:41
11K
perl-uri-db-0.23-r0.apk
2025-01-09 05:27
11K
py3-u-msgpack-2.8.0-r2.apk
2024-10-25 20:12
11K
perl-math-random-doc-0.72-r1.apk
2025-07-01 19:19
11K
py3-requests-kerberos-pyc-0.15.0-r0.apk
2024-12-01 17:24
11K
perl-math-libm-1.00-r15.apk
2025-07-01 19:19
11K
mkdocs-ivory-0.4.6-r5.apk
2024-10-25 20:11
11K
py3-rabbit-1.1.0-r8.apk
2024-10-25 20:12
11K
thumbdrives-0.3.2-r2.apk
2024-10-25 20:12
11K
py3-ovos-phal-plugin-system-1.3.3-r0.apk
2025-07-15 17:07
11K
py3-dataclasses-serialization-1.3.1-r3.apk
2024-10-25 20:12
11K
screenkey-doc-1.5-r7.apk
2025-07-22 20:52
11K
libtsm-dev-4.1.0-r0.apk
2025-07-08 05:58
11K
py3-blockchain-1.4.4-r7.apk
2024-10-25 20:12
11K
enjoy-0.3-r1.apk
2024-10-25 20:11
11K
perl-getopt-long-descriptive-doc-0.116-r0.apk
2024-12-31 09:40
11K
toss-1.1-r1.apk
2025-05-29 12:07
11K
perl-xml-xpathengine-doc-0.14-r0.apk
2025-07-08 16:54
11K
neard-dev-0.19-r0.apk
2024-10-25 20:11
11K
py3-ovos-ocp-news-plugin-0.1.1-r0.apk
2025-07-15 20:03
11K
py3-base58-2.1.1-r2.apk
2024-10-25 20:12
11K
py3-sphinxcontrib-issuetracker-0.11-r7.apk
2024-10-25 20:12
11K
lomiri-history-service-dev-0.6-r8.apk
2025-07-03 15:27
11K
php85-bz2-8.5.0_alpha2-r0.apk
2025-07-16 04:02
11K
pokoy-0.2.5-r0.apk
2024-10-25 20:12
11K
perl-glib-object-introspection-doc-0.051-r2.apk
2025-07-01 19:19
11K
apache2-mod-maxminddb-1.2.0-r0.apk
2025-05-19 10:23
11K
py3-downloader-cli-0.3.4-r2.apk
2025-05-14 18:17
11K
nuzzle-1.6-r0.apk
2025-01-17 14:21
11K
py3-sphinxcontrib-nwdiag-pyc-2.0.0-r7.apk
2024-10-25 20:12
11K
py3-pam-2.0.2-r2.apk
2024-10-25 20:12
11K
py3-flask-paginate-pyc-0.8.1-r6.apk
2024-10-25 20:12
11K
xlhtml-0.5.1-r0.apk
2024-10-25 20:13
11K
lomiri-content-hub-dev-2.1.0-r0.apk
2025-04-13 22:06
11K
py3-ovos-phal-plugin-network-manager-pyc-1.3.2-r0.apk
2024-11-21 13:32
11K
perl-crypt-random-seed-0.03-r0.apk
2024-10-25 20:12
11K
py3-itemadapter-0.10.0-r0.apk
2024-11-30 20:42
11K
mint-y-icons-doc-1.8.3-r0.apk
2025-02-05 22:20
11K
ptylie-0.2-r2.apk
2025-05-12 21:41
11K
ocaml-ppx_derivers-dev-1.2.1-r2.apk
2024-10-25 20:12
11K
py3-vdf-3.4-r1.apk
2024-10-25 20:12
11K
py3-sphinxcontrib-restbuilder-0.3-r6.apk
2024-10-25 20:12
11K
roll-doc-2.6.1-r0.apk
2025-05-26 23:03
11K
lrcalc-dev-2.1-r1.apk
2024-10-25 20:11
11K
lrcalc-2.1-r1.apk
2024-10-25 20:11
11K
termbox-1.1.2-r1.apk
2024-10-25 20:12
11K
py3-sphinxcontrib-phpdomain-0.13.0-r0.apk
2025-07-12 16:03
11K
perl-catalyst-devel-doc-1.42-r0.apk
2025-03-20 16:04
11K
espeakup-0.90-r2.apk
2024-10-25 20:11
11K
dasht-doc-2.4.0-r0.apk
2024-10-25 20:11
11K
perl-test-file-1.995-r0.apk
2025-04-19 17:54
11K
libecap-dev-1.0.1-r1.apk
2024-10-25 20:11
11K
perl-devel-confess-0.009004-r0.apk
2024-10-25 20:12
11K
mktorrent-borg-0.9.9-r1.apk
2024-10-25 20:11
11K
lizardfs-doc-3.13.0-r17.apk
2025-06-19 08:56
11K
py3-padacioso-0.2.1-r0.apk
2024-10-25 20:12
11K
lua5.2-libmodbus-0.6.1-r0.apk
2024-10-25 20:11
11K
belcard-dev-5.3.105-r0.apk
2025-02-25 12:48
11K
perl-set-infinite-doc-0.65-r0.apk
2025-07-21 08:40
11K
emacs-taxy-0.10.2-r0.apk
2025-04-23 21:27
11K
py3-halo-0.0.31-r5.apk
2024-10-25 20:12
11K
perl-xml-bare-doc-0.53-r14.apk
2025-07-01 19:19
11K
py3-pygelbooru-pyc-0.5.0-r4.apk
2024-10-25 20:12
11K
lua5.1-libmodbus-0.6.1-r0.apk
2024-10-25 20:11
11K
libcorkipset-tools-1.1.1-r4.apk
2024-10-25 20:11
11K
lumina-desktop-doc-1.6.2-r0.apk
2024-10-25 20:11
12K
belcard-5.3.105-r0.apk
2025-02-25 12:48
12K
restart-services-0.17.0-r0.apk
2024-10-25 20:12
12K
py3-libpyshell-0.4.1-r1.apk
2025-06-10 09:39
12K
gnome-common-3.18.0-r3.apk
2024-10-25 20:11
12K
perl-list-binarysearch-doc-0.25-r0.apk
2024-10-25 20:12
12K
ddgr-doc-2.2-r0.apk
2024-10-25 20:11
12K
lld21-doc-21.1.0_rc1-r1.apk
2025-07-22 15:50
12K
py3-protego-pyc-0.3.1-r0.apk
2024-11-30 20:18
12K
java-jtharness-doc-6.0_p12-r0.apk
2024-10-25 20:11
12K
autoremove-torrents-doc-1.5.5-r0.apk
2024-10-25 20:10
12K
spvm-thread-0.003-r1.apk
2025-07-01 19:19
12K
py3-ioctl-opt-1.3-r0.apk
2025-01-27 21:37
12K
octoprint-filecheck-pyc-2024.11.12-r0.apk
2025-07-12 16:03
12K
libdng-0.2.1-r0.apk
2024-12-27 22:10
12K
minidyndns-1.3.0-r3.apk
2024-10-25 20:11
12K
perl-net-curl-promiser-doc-0.20-r0.apk
2024-10-25 20:12
12K
py3-x-wr-timezone-2.0.1-r0.apk
2025-02-09 15:13
12K
py3-bson-0.5.10-r6.apk
2024-10-25 20:12
12K
py3-wgconfig-pyc-1.1.0-r0.apk
2025-01-29 06:49
12K
py3-virtualenvwrapper-pyc-6.1.0-r1.apk
2024-10-25 20:12
12K
py3-openapi-codec-pyc-1.3.2-r9.apk
2024-10-25 20:12
12K
py3-rofi-pyc-1.0.1-r0.apk
2025-06-10 19:07
12K
py3-recommonmark-0.7.1-r4.apk
2024-10-25 20:12
12K
psi-notify-1.3.1-r0.apk
2024-10-25 20:12
12K
php81-posix-8.1.33-r0.apk
2025-07-02 22:19
12K
py3-simplesoapy-pyc-1.5.1-r7.apk
2024-10-25 20:12
12K
yamkix-pyc-0.10.0-r1.apk
2024-10-25 20:13
12K
lld21-21.1.0_rc1-r1.apk
2025-07-22 15:50
12K
perl-datetime-timezone-catalog-extend-0.3.3-r0.apk
2024-10-25 20:12
12K
perl-ryu-async-doc-0.020-r0.apk
2024-10-25 20:12
12K
py3-crc16-0.1.1-r10.apk
2024-10-25 20:12
12K
tdrop-0.5.0-r0.apk
2024-10-25 20:12
12K
tanidvr-dhav2mkv-1.4.1-r2.apk
2025-03-21 11:52
12K
perl-system-command-1.122-r0.apk
2024-10-25 20:12
12K
libmygpo-qt-dev-1.1.0-r2.apk
2024-10-25 20:11
12K
py3-orderedmultidict-1.0.1-r7.apk
2024-10-25 20:12
12K
ocaml-calendar-doc-2.04-r4.apk
2024-10-25 20:11
12K
setroot-2.0.2-r1.apk
2024-10-25 20:12
12K
py3-simber-0.2.6-r5.apk
2025-05-14 18:17
12K
perl-test-roo-1.004-r3.apk
2024-10-25 20:12
12K
py3-ovos-phal-plugin-oauth-0.1.3-r0.apk
2024-11-21 13:32
12K
php81-tokenizer-8.1.33-r0.apk
2025-07-02 22:19
12K
php85-tokenizer-8.5.0_alpha2-r0.apk
2025-07-16 04:02
12K
php81-xmlwriter-8.1.33-r0.apk
2025-07-02 22:19
12K
ovpncc-0.1_rc1-r0.apk
2024-10-25 20:12
12K
py3-sphinxcontrib-issuetracker-pyc-0.11-r7.apk
2024-10-25 20:12
12K
py3-git-versioner-7.1-r1.apk
2024-10-25 20:12
12K
py3-sphinxcontrib-adadomain-pyc-0.2-r9.apk
2024-10-25 20:12
12K
nlopt-dev-2.10.0-r1.apk
2025-06-04 13:53
12K
perl-promise-me-doc-0.5.0-r0.apk
2024-10-25 20:12
12K
wlavu-0_git20201101-r1.apk
2024-10-25 20:13
12K
perl-class-accessor-grouped-0.10014-r2.apk
2024-10-25 20:12
12K
pqiv-doc-2.12-r1.apk
2024-10-25 20:12
12K
raku-html-escape-0.0.1-r0.apk
2025-07-21 08:40
12K
perl-cli-osprey-doc-0.08-r0.apk
2024-12-28 04:54
12K
sdl3_image-dev-3.2.4-r0.apk
2025-03-24 20:26
12K
perl-promise-es6-doc-0.28-r0.apk
2024-10-25 20:12
12K
perl-file-rename-doc-2.02-r0.apk
2024-10-25 20:12
12K
py3-requests-kerberos-0.15.0-r0.apk
2024-12-01 17:24
12K
perl-file-changenotify-0.31-r0.apk
2025-03-20 16:04
12K
perl-catalyst-model-adaptor-doc-0.10-r0.apk
2025-04-13 14:57
12K
tldr-python-client-3.3.0-r0.apk
2024-12-01 17:09
12K
jdebp-redo-doc-1.4-r1.apk
2024-10-25 20:11
12K
ip2location-dev-8.6.1-r0.apk
2024-10-25 20:11
12K
perl-datetime-format-flexible-doc-0.37-r0.apk
2024-12-31 13:26
12K
vim-airline-doc-0.11-r0.apk
2024-10-25 20:13
12K
perl-log-message-doc-0.08-r3.apk
2024-10-25 20:12
12K
perl-bytes-random-secure-doc-0.29-r0.apk
2024-10-25 20:12
12K
py3-rofi-1.0.1-r0.apk
2025-06-10 19:07
12K
py3-rst2ansi-0.1.5-r0.apk
2024-10-25 20:12
12K
py3-sphinxcontrib-doxylink-1.12.3-r0.apk
2024-12-06 22:58
12K
py3-padacioso-pyc-0.2.1-r0.apk
2024-10-25 20:12
12K
perl-smart-comments-1.06-r0.apk
2025-07-07 17:02
12K
ocaml-mirage-time-3.0.0-r4.apk
2024-10-25 20:12
12K
ocaml-bigarray-compat-dev-1.1.0-r2.apk
2024-10-25 20:11
12K
rgxg-doc-0.1.2-r2.apk
2024-10-25 20:12
12K
legume-doc-1.4.2-r10.apk
2025-07-10 02:27
12K
turntable-lang-0.3.3-r0.apk
2025-05-27 13:01
12K
py3-pymsteams-0.2.5-r0.apk
2025-02-17 09:34
12K
py3-janus-1.2.0-r0.apk
2024-12-13 04:57
12K
termbox-static-1.1.2-r1.apk
2024-10-25 20:12
12K
dislocker-0.7.3-r6.apk
2025-07-19 22:46
12K
perl-lingua-stem-2.31-r0.apk
2025-06-08 16:33
12K
git-quick-stats-2.5.8-r0.apk
2024-10-25 20:11
12K
perl-daemon-control-0.001010-r2.apk
2024-10-25 20:12
12K
py3-aiohttp-jinja2-1.6-r2.apk
2024-10-25 20:12
12K
perl-anyevent-riperedis-0.48-r0.apk
2024-10-25 20:12
12K
afew-doc-3.0.1-r0.apk
2025-05-18 22:30
12K
perl-xml-feed-doc-0.65-r0.apk
2024-10-25 20:12
12K
ocaml-mirage-net-4.0.0-r3.apk
2024-10-25 20:12
12K
mediastreamer2-plugin-openh264-5.2.0_git20231020-r1.apk
2025-03-06 22:29
12K
py3-rfc-bibtex-pyc-0.3.2-r7.apk
2024-10-25 20:12
12K
py3-cobs-pyc-1.2.0-r4.apk
2024-10-25 20:12
12K
py3-venusian-pyc-3.1.1-r0.apk
2024-12-07 21:14
12K
perl-nice-try-doc-1.3.17-r0.apk
2025-07-19 17:52
13K
m2r2-0.3.3-r3.apk
2024-10-25 20:11
13K
py3-itemloaders-1.3.2-r0.apk
2024-10-25 20:12
13K
xosview-doc-1.24-r0.apk
2024-10-25 20:13
13K
ocaml-bigarray-compat-1.1.0-r2.apk
2024-10-25 20:11
13K
planarity-doc-4.0.0.0-r0.apk
2025-03-16 10:06
13K
py3-libiio-0.25-r2.apk
2024-10-25 20:12
13K
perl-crypt-blowfish-2.14-r1.apk
2025-07-01 19:19
13K
nvimpager-0.12.0-r0.apk
2024-10-25 20:11
13K
geonames-doc-0.3.1-r2.apk
2024-10-25 20:11
13K
clatd-1.6-r0.apk
2024-10-25 20:11
13K
rtptools-doc-1.22-r2.apk
2024-10-25 20:12
13K
py3-lsp-mypy-0.7.0-r0.apk
2025-02-17 09:34
13K
php85-pecl-xhprof-2.3.10-r0.apk
2025-07-02 18:59
13K
php81-pecl-xhprof-2.3.10-r0.apk
2024-10-25 20:12
13K
py3-itemadapter-pyc-0.10.0-r0.apk
2024-11-30 20:42
13K
kubesplit-0.3.3-r1.apk
2024-10-25 20:11
13K
perl-barcode-zbar-doc-0.10-r4.apk
2025-07-01 19:19
13K
py3-hatch-openzim-bootstrap-pyc-0.1.0-r0.apk
2024-10-25 20:12
13K
perl-catalyst-view-tt-doc-0.46-r0.apk
2025-04-12 15:51
13K
roll-2.6.1-r0.apk
2025-05-26 23:03
13K
saait-doc-0.8-r0.apk
2024-10-25 20:12
13K
gr-satellites-dev-5.5.0-r5.apk
2025-06-08 16:33
13K
py3-wtf-peewee-3.0.6-r0.apk
2024-10-25 20:12
13K
py3-pygfm-2.0.0-r2.apk
2024-10-25 20:12
13K
py3-flake8-builtins-2.5.0-r0.apk
2024-12-07 21:51
13K
dooit-extras-0.2.0-r0.apk
2024-12-07 20:23
13K
php81-pdo_dblib-8.1.33-r0.apk
2025-07-02 22:19
13K
py3-lsp-mypy-pyc-0.7.0-r0.apk
2025-02-17 09:34
13K
perl-cli-osprey-0.08-r0.apk
2024-12-28 04:54
13K
php85-posix-8.5.0_alpha2-r0.apk
2025-07-16 04:02
13K
perl-gtk2-ex-listmodelconcat-11-r4.apk
2024-10-25 20:12
13K
libglib-testing-0.1.1-r0.apk
2025-05-08 12:15
13K
py3-queuelib-1.7.0-r0.apk
2024-10-25 20:12
13K
py3-flask-cache-0.13.1-r9.apk
2024-10-25 20:12
13K
py3-bitstruct-pyc-8.19.0-r1.apk
2024-10-25 20:12
13K
glmark2-doc-2023.01-r1.apk
2024-10-25 20:11
13K
cpp-httplib-doc-0.23.1-r0.apk
2025-07-17 16:07
13K
octoprint-pisupport-pyc-2023.10.10-r1.apk
2024-10-25 20:12
13K
mint-themes-doc-2.1.1-r0.apk
2024-10-25 20:11
13K
py3-incoming-0.3.1-r8.apk
2024-10-25 20:12
13K
imapfilter-doc-2.8.2-r0.apk
2024-10-25 20:11
13K
utop-emacs-2.9.1-r4.apk
2024-10-25 20:13
13K
tree-sitter-git-commit-0_git20211225-r3.apk
2025-02-26 17:29
13K
libcyaml-dev-1.4.2-r0.apk
2024-10-25 20:11
13K
perl-list-binarysearch-xs-0.09-r2.apk
2025-07-01 19:19
13K
py3-rfc-bibtex-0.3.2-r7.apk
2024-10-25 20:12
13K
php81-calendar-8.1.33-r0.apk
2025-07-02 22:19
13K
crun-vm-doc-0.3.0-r0.apk
2024-11-12 11:35
13K
fungw-doc-1.2.1-r0.apk
2024-12-30 09:48
13K
perl-email-abstract-doc-3.010-r0.apk
2024-10-25 20:12
13K
py3-deluge-client-1.10.2-r0.apk
2024-10-25 20:12
13K
php81-pecl-opentelemetry-1.2.0-r0.apk
2025-07-12 13:10
13K
ssss-0.5.7-r0.apk
2024-10-25 20:12
13K
devil-dev-1.8.0-r0.apk
2024-10-25 20:11
13K
plfit-1.0.1-r0.apk
2025-01-04 03:47
13K
py3-glob2-pyc-0.7-r6.apk
2024-10-25 20:12
13K
lychee-doc-0.19.1-r0.apk
2025-06-17 08:07
13K
php85-calendar-8.5.0_alpha2-r0.apk
2025-07-16 04:02
13K
py3-telegram-text-pyc-0.2.0-r1.apk
2024-10-25 20:12
13K
kubesplit-pyc-0.3.3-r1.apk
2024-10-25 20:11
13K
speedtest-examples-5.2.5-r1.apk
2024-10-25 20:12
13K
py3-pam-pyc-2.0.2-r2.apk
2024-10-25 20:12
13K
libibmad-dev-1.3.13-r2.apk
2024-10-25 20:11
13K
barrier-doc-2.4.0-r2.apk
2025-02-17 09:34
13K
raku-oo-monitors-1.1.5-r0.apk
2025-06-07 07:44
13K
py3-hatch-openzim-pyc-0.2.0-r0.apk
2024-10-25 20:12
13K
makeself-2.5.0-r0.apk
2024-10-25 20:11
13K
touchpad-emulator-0.3-r0.apk
2025-05-26 09:33
13K
py3-wifi-0.3.8-r7.apk
2024-10-25 20:12
13K
qt-wayland-shell-helpers-0.1.1-r3.apk
2024-10-25 20:12
13K
rustic-zsh-completion-0.9.3-r0.apk
2024-10-25 20:12
13K
py3-riotctrl-0.5.0-r4.apk
2024-10-25 20:12
13K
libhwpwm-doc-0.4.4-r0.apk
2024-10-25 20:11
13K
py3-keepalive-pyc-0.5-r5.apk
2024-10-25 20:12
13K
py3-discid-pyc-1.2.0-r6.apk
2024-10-25 20:12
13K
sigrok-firmware-fx2lafw-bin-0.1.7-r0.apk
2024-10-25 20:12
13K
mm-dev-1.4.2-r1.apk
2024-10-25 20:11
13K
py3-pdal-pyc-3.4.5-r1.apk
2025-06-21 21:50
13K
perl-text-german-0.06-r0.apk
2025-06-07 02:00
13K
apt-dater-lang-1.0.4-r4.apk
2024-10-25 20:10
13K
perl-algorithm-permute-0.17-r1.apk
2025-07-01 19:19
13K
py3-phx-class-registry-5.0.0-r0.apk
2024-10-25 20:12
13K
fungw-tcl-1.2.1-r0.apk
2024-12-30 09:48
13K
lsdvd-0.17-r0.apk
2024-10-25 20:11
13K
py3-pydes-pyc-2.0.1-r5.apk
2024-10-25 20:12
13K
py3-sphinxcontrib-spelling-8.0.1-r0.apk
2025-07-13 21:34
13K
mbpfan-2.4.0-r1.apk
2024-10-25 20:11
13K
darts-clone-dev-0.32h-r0.apk
2025-07-06 07:09
13K
py3-pep8-naming-pyc-0.14.1-r0.apk
2024-10-25 20:12
13K
py3-pygfm-pyc-2.0.0-r2.apk
2024-10-25 20:12
13K
perl-file-find-object-doc-0.3.9-r0.apk
2025-07-11 16:50
13K
sqlar-0_git20180107-r1.apk
2024-10-25 20:12
13K
convert2json-doc-2.2.2-r0.apk
2025-05-26 00:39
13K
py3-unidns-0.0.1-r3.apk
2025-05-29 12:07
13K
fungw-1.2.1-r0.apk
2024-12-30 09:48
13K
catfish-doc-4.20.1-r0.apk
2025-07-07 19:20
13K
perl-catalyst-plugin-i18n-doc-0.10-r0.apk
2025-04-04 08:55
13K
biometryd-dev-0.3.1-r7.apk
2025-02-17 09:34
13K
apk-autoupdate-0_git20210421-r1.apk
2024-11-18 18:00
13K
php85-pdo_dblib-8.5.0_alpha2-r0.apk
2025-07-16 04:02
13K
startup-tools-2.0.3-r5.apk
2024-10-25 20:12
13K
py3-flask-migrate-4.1.0-r0.apk
2025-07-13 21:53
13K
perl-catalyst-authentication-store-dbix-class-0.1506-r0.apk
2025-06-11 16:36
13K
ddserver-0_git20200930-r1.apk
2024-10-25 20:11
13K
py3-janus-pyc-1.2.0-r0.apk
2024-12-13 04:57
13K
py3-asif-0.3.2-r3.apk
2024-10-25 20:12
13K
libiio-dev-0.25-r2.apk
2024-10-25 20:11
13K
mpdcron-doc-0.3-r1.apk
2024-10-25 20:11
13K
py3-wg-netns-pyc-2.3.1-r1.apk
2024-10-25 20:12
13K
nerdlog-doc-1.10.0-r1.apk
2025-07-10 02:27
13K
identities-0.1.4-r0.apk
2025-05-06 10:02
13K
wabt-doc-1.0.37-r0.apk
2025-04-06 21:38
13K
perl-starman-0.4017-r0.apk
2024-10-25 20:12
13K
py3-ecbdata-0.1.1-r0.apk
2025-04-13 23:24
13K
perl-net-jabber-bot-2.1.7-r0.apk
2024-10-25 20:12
13K
ocaml-randomconv-0.1.3-r2.apk
2024-10-25 20:12
13K
xfd-1.1.4-r0.apk
2024-10-25 20:13
14K
php81-pdo_odbc-8.1.33-r0.apk
2025-07-02 22:19
14K
py3-git-versioner-pyc-7.1-r1.apk
2024-10-25 20:12
14K
perl-net-netmask-2.0003-r0.apk
2025-05-18 04:10
14K
ocaml-hkdf-1.0.4-r2.apk
2024-10-25 20:12
14K
bash-pinyin-completion-rs-doc-0.2.3-r0.apk
2025-04-30 17:49
14K
afetch-doc-2.2.0-r1.apk
2024-10-25 20:10
14K
hikari-doc-2.3.3-r6.apk
2024-10-25 20:11
14K
py3-spidev-3.6-r1.apk
2024-10-25 20:12
14K
bettercap-doc-2.41.0-r3.apk
2025-07-10 02:26
14K
py3-telegram-0.18.0-r3.apk
2024-10-25 20:12
14K
xed-dev-3.8.2-r0.apk
2025-02-05 22:20
14K
py3-linux-procfs-0.7.3-r0.apk
2025-01-13 21:19
14K
php85-xmlwriter-8.5.0_alpha2-r0.apk
2025-07-16 04:02
14K
perl-catalyst-view-tt-0.46-r0.apk
2025-04-12 15:51
14K
perl-uri-find-20160806-r0.apk
2025-03-23 07:58
14K
perl-moosex-getopt-0.78-r0.apk
2025-03-16 04:04
14K
py3-bite-parser-0.2.5-r0.apk
2024-10-28 21:51
14K
py3-flask-flatpages-pyc-0.8.3-r0.apk
2024-12-06 22:58
14K
perl-cpan-changes-0.500004-r0.apk
2024-10-25 20:12
14K
peg-doc-0.1.18-r1.apk
2024-10-25 20:12
14K
catcodec-1.0.5-r2.apk
2024-10-25 20:11
14K
perl-text-worddiff-doc-0.09-r0.apk
2025-06-09 16:26
14K
libecap-1.0.1-r1.apk
2024-10-25 20:11
14K
tree-sitter-just-0_git20230318-r0.apk
2024-10-25 20:12
14K
steghide-doc-0.5.1.1-r0.apk
2024-10-25 20:12
14K
ocaml-libvirt-doc-0.6.1.7-r0.apk
2024-10-25 20:12
14K
py3-wifi-pyc-0.3.8-r7.apk
2024-10-25 20:12
14K
aoetools-doc-37-r2.apk
2025-01-14 16:36
14K
seaweedfs-doc-3.93-r0.apk
2025-07-13 20:44
14K
aide-doc-0.18.8-r0.apk
2024-10-25 20:10
14K
networkmanager-dmenu-2.6.1-r0.apk
2025-07-23 08:14
14K
perl-html-query-0.09-r0.apk
2025-06-16 11:51
14K
libmdf-dev-1.0.29-r0.apk
2024-10-25 20:11
14K
luksmeta-9-r0.apk
2024-10-25 20:11
14K
perl-astro-doc-0.78-r0.apk
2025-07-21 08:40
14K
faultstat-0.01.11-r0.apk
2024-10-25 20:11
14K
simp1e-cursors-doc-0_git20250312-r0.apk
2025-03-13 12:28
14K
tuptime-5.2.4-r1.apk
2024-11-28 22:44
14K
perl-ev-hiredis-0.07-r3.apk
2025-07-01 19:19
14K
perl-xml-feed-0.65-r0.apk
2024-10-25 20:12
14K
tmux-resurrect-4.0.0-r0.apk
2024-10-25 20:12
14K
par-1.53.0-r1.apk
2024-10-25 20:12
14K
perl-catalyst-authentication-store-dbix-class-doc-0.1506-r0.apk
2025-06-11 16:36
14K
mcqd-1.0.0-r1.apk
2024-10-25 20:11
14K
py3-sortedcollections-pyc-2.1.0-r5.apk
2024-10-25 20:12
14K
ocaml-seq-0.3.1-r2.apk
2024-10-25 20:12
14K
py3-pprintpp-0.4.0-r1.apk
2024-10-25 20:12
14K
php81-xsl-8.1.33-r0.apk
2025-07-02 22:19
14K
py3-halo-pyc-0.0.31-r5.apk
2024-10-25 20:12
14K
php81-pdo_sqlite-8.1.33-r0.apk
2025-07-02 22:19
14K
emacs-total-recall-examples-0_git20250426-r0.apk
2025-04-30 08:17
14K
perl-database-async-engine-postgresql-1.005-r0.apk
2024-10-25 20:12
14K
vmtouch-1.3.1-r0.apk
2024-10-25 20:13
14K
php81-pdo_mysql-8.1.33-r0.apk
2025-07-02 22:19
14K
perl-graphql-client-doc-0.605-r0.apk
2024-10-25 20:12
14K
tere-doc-1.6.0-r0.apk
2024-10-25 20:12
14K
php81-xmlreader-8.1.33-r0.apk
2025-07-02 22:19
14K
perl-file-changenotify-doc-0.31-r0.apk
2025-03-20 16:04
14K
amdgpu-fan-0.1.0-r5.apk
2024-10-25 20:10
14K
wmctrl-1.07-r1.apk
2024-10-25 20:13
14K
perl-cairo-doc-1.109-r5.apk
2025-07-01 19:19
14K
autotrash-pyc-0.4.7-r0.apk
2024-10-25 20:10
14K
qdjango-dev-0.6.2-r1.apk
2024-10-25 20:12
14K
gammastep-doc-2.0.9-r3.apk
2024-10-25 20:11
14K
libcorkipset-1.1.1-r4.apk
2024-10-25 20:11
14K
ledmon-doc-1.0.0-r0.apk
2024-10-25 20:11
14K
cddlib-dev-0.94m-r2.apk
2024-10-25 20:11
14K
perl-snmp-doc-5.0404-r14.apk
2025-07-01 19:19
14K
wshowkeys-1.0-r0.apk
2024-10-25 20:13
14K
py3-pyscreeze-0.1.29-r3.apk
2024-10-25 20:12
14K
rtmidi-dev-6.0.0-r0.apk
2024-10-25 20:12
14K
subdl-pyc-0_git20230616-r1.apk
2024-10-25 20:12
14K
py3-downloader-cli-pyc-0.3.4-r2.apk
2025-05-14 18:17
14K
slurm-0.4.4-r0.apk
2024-10-25 20:12
14K
py3-euclid3-0.01-r8.apk
2024-10-25 20:12
14K
php81-pecl-zstd-0.14.0-r0.apk
2024-11-06 14:44
14K
tldr-python-client-pyc-3.3.0-r0.apk
2024-12-01 17:09
14K
php81-tideways_xhprof-5.0.4-r1.apk
2024-10-25 20:12
14K
py3-firmata-1.0.3-r10.apk
2024-10-25 20:12
14K
py3-sphinx-argparse-0.5.2-r0.apk
2024-10-25 20:12
14K
perl-syntax-keyword-match-0.15-r1.apk
2025-07-01 19:19
14K
py3-pyscreeze-pyc-0.1.29-r3.apk
2024-10-25 20:12
14K
pam-pkcs11-doc-0.6.13-r0.apk
2025-06-10 19:03
14K
mspdebug-doc-0.25-r1.apk
2024-10-25 20:11
14K
ruby-minitest-autotest-1.1.1-r0.apk
2025-07-06 18:48
14K
watchdog-doc-5.16-r2.apk
2024-10-25 20:13
14K
perl-path-dispatcher-1.08-r0.apk
2025-06-09 12:05
14K
py3-typing_inspect-pyc-0.9.0-r2.apk
2024-10-25 20:12
14K
yamkix-0.10.0-r1.apk
2024-10-25 20:13
14K
dasht-2.4.0-r0.apk
2024-10-25 20:11
14K
sandbar-0.1-r1.apk
2025-05-14 18:17
14K
py3-click-completion-pyc-0.5.2-r1.apk
2024-10-25 20:12
14K
quickjs-ng-dev-0.10.1-r0.apk
2025-05-16 17:24
14K
mp3val-0.1.8-r1.apk
2024-10-25 20:11
14K
py3-dataclasses-serialization-pyc-1.3.1-r3.apk
2024-10-25 20:12
14K
libzvbi-dev-0.2.44-r0.apk
2025-03-11 20:28
14K
mm-doc-1.4.2-r1.apk
2024-10-25 20:11
14K
py3-us-3.2.0-r0.apk
2024-10-25 20:12
14K
perl-bytes-random-secure-0.29-r0.apk
2024-10-25 20:12
14K
ruby-benchmark-ips-2.14.0-r0.apk
2025-05-25 23:54
14K
py3-venusian-3.1.1-r0.apk
2024-12-07 21:14
14K
mdnsd-doc-0.12-r1.apk
2024-10-25 20:11
14K
libnfcdef-1.0.1-r0.apk
2025-04-13 22:18
14K
usbtop-1.0-r0.apk
2025-04-12 12:26
14K
php81-pcntl-8.1.33-r0.apk
2025-07-02 22:19
14K
emacs-closql-1.2.1_git20240712-r0.apk
2024-10-25 20:11
14K
aprilsh-doc-0.7.12-r6.apk
2025-07-10 02:26
14K
mangr0ve-doc-0.1.2-r0.apk
2024-10-25 20:11
14K
perl-catalyst-plugin-session-0.43-r0.apk
2025-04-04 11:57
14K
gmid-doc-2.1.1-r0.apk
2024-11-27 19:26
14K
rinetd-0.73-r0.apk
2024-10-25 20:12
14K
py3-createrepo_c-pyc-1.1.4-r0.apk
2024-10-25 20:12
15K
belr-dev-5.3.105-r0.apk
2025-02-25 12:52
15K
throttled-0.10.0-r1.apk
2024-12-15 19:26
15K
mkg3a-0.5.0-r1.apk
2024-10-25 20:11
15K
hx-1.0.15-r0.apk
2024-10-25 20:11
15K
py3-itunespy-pyc-1.6-r5.apk
2025-05-14 18:17
15K
perl-test-files-doc-0.26-r0.apk
2024-10-25 20:12
15K
perl-test-modern-0.013-r3.apk
2024-10-25 20:12
15K
emacs-fossil-0_git20230504-r0.apk
2024-10-25 20:11
15K
perl-getopt-long-descriptive-0.116-r0.apk
2024-12-31 09:40
15K
ideviceinstaller-1.1.1-r4.apk
2024-10-30 22:44
15K
py3-django-compress-staticfiles-1.0.1_beta0-r6.apk
2024-10-25 20:12
15K
py3-markdownify-1.1.0-r0.apk
2025-04-17 23:03
15K
fungw-lua-1.2.1-r0.apk
2024-12-30 09:48
15K
libresprite-doc-1.2-r0.apk
2025-04-13 22:16
15K
php81-pecl-mcrypt-1.0.7-r0.apk
2024-10-25 20:12
15K
sfizz-dev-1.2.3-r0.apk
2025-05-16 20:14
15K
perl-dbix-connector-0.60-r0.apk
2024-12-30 06:37
15K
git-secret-0.5.0-r0.apk
2024-10-25 20:11
15K
py3-urlobject-2.4.3-r9.apk
2024-10-25 20:12
15K
godini-doc-1.0.0-r1.apk
2025-07-10 02:27
15K
rgxg-0.1.2-r2.apk
2024-10-25 20:12
15K
py3-pockethernet-0.7.0-r4.apk
2024-10-25 20:12
15K
py3-aiohttp-session-pyc-2.12.1-r0.apk
2024-10-25 20:12
15K
php81-pecl-brotli-0.18.0-r0.apk
2025-06-17 19:46
15K
sssd-dev-2.11.0-r0.apk
2025-06-06 09:11
15K
perl-test-www-mechanize-1.60-r0.apk
2025-04-13 14:57
15K
perl-dancer-plugin-auth-extensible-doc-1.00-r5.apk
2024-10-25 20:12
15K
commoncpp-doc-7.0.1-r1.apk
2024-10-25 20:11
15K
perl-number-tolerant-1.710-r0.apk
2024-10-25 20:12
15K
py3-pybars3-0.9.7-r6.apk
2024-10-25 20:12
15K
php85-pecl-brotli-0.18.0-r0.apk
2025-07-02 18:59
15K
virter-doc-0.29.0-r1.apk
2025-07-10 02:27
15K
mpdris2-doc-0.9.1-r3.apk
2024-10-25 20:11
15K
sopwith-doc-2.5.0-r0.apk
2024-10-25 20:12
15K
py3-django-compress-staticfiles-pyc-1.0.1_beta0-r6.apk
2024-10-25 20:12
15K
logtop-0.7-r0.apk
2024-10-25 20:11
15K
php83-pecl-vld-0.19.1-r0.apk
2025-07-20 13:28
15K
php82-pecl-vld-0.19.1-r0.apk
2025-07-20 13:28
15K
libibumad-1.3.10.2-r3.apk
2024-10-25 20:11
15K
qmk-cli-1.1.8-r0.apk
2025-06-01 16:52
15K
py3-pygtail-0.14.0-r3.apk
2024-10-25 20:12
15K
bluez-tools-doc-0_git20201025-r0.apk
2025-06-09 08:40
15K
harminv-libs-1.4.2-r1.apk
2024-10-25 20:11
15K
cmusfm-0.5.0-r0.apk
2024-10-25 20:11
15K
perl-datetime-timezone-catalog-extend-doc-0.3.3-r0.apk
2024-10-25 20:12
15K
py3-sphinxcontrib-htsql-pyc-0.1.5-r8.apk
2024-10-25 20:12
15K
kirc-0.3.3-r0.apk
2025-02-22 11:09
15K
xcompmgr-1.1.10-r0.apk
2025-06-28 11:28
15K
perl-glib-ex-objectbits-17-r0.apk
2024-10-25 20:12
15K
kerberoast-pyc-0.2.0-r2.apk
2025-05-29 12:07
15K
libbraiding-dev-1.3.1-r0.apk
2024-11-18 18:00
15K
py3-sphinxcontrib-inheritance-pyc-0.9.0-r9.apk
2024-10-25 20:12
15K
py3-snapshottest-0.6.0-r5.apk
2024-10-25 20:12
15K
py3-intervals-pyc-0.9.2-r5.apk
2024-10-25 20:12
15K
libinfnoise-0.3.3-r0.apk
2025-05-26 08:58
15K
mpdris2-0.9.1-r3.apk
2024-10-25 20:11
15K
php84-pecl-vld-0.19.1-r0.apk
2025-07-20 13:28
15K
py3-us-pyc-3.2.0-r0.apk
2024-10-25 20:12
15K
fatresize-doc-1.1.0-r1.apk
2024-10-25 20:11
15K
php85-pecl-vld-0.19.1-r0.apk
2025-07-20 13:28
15K
perl-number-format-1.76-r1.apk
2024-10-25 20:12
15K
libvisio2svg-0.5.5-r3.apk
2024-10-25 20:11
15K
chasquid-doc-1.15.0-r5.apk
2025-07-10 02:26
15K
blip-0.10-r0.apk
2024-10-25 20:11
15K
perl-dancer-plugin-auth-extensible-1.00-r5.apk
2024-10-25 20:12
15K
perl-html-gumbo-0.18-r2.apk
2025-07-01 19:19
15K
perl-list-keywords-0.11-r1.apk
2025-07-01 19:19
15K
perl-dns-unbound-doc-0.29-r2.apk
2025-07-01 19:19
15K
py3-flake8-import-order-0.18.2-r4.apk
2024-10-25 20:12
15K
ampy-1.1.0-r6.apk
2025-03-19 11:45
15K
py3-teletype-1.3.4-r3.apk
2024-10-25 20:12
15K
spvm-mime-base64-1.003-r1.apk
2025-07-01 19:19
15K
codec2-dev-1.2.0-r0.apk
2025-05-25 22:24
15K
perl-test-roo-doc-1.004-r3.apk
2024-10-25 20:12
15K
py3-googletrans-3.0.0-r5.apk
2024-10-25 20:12
15K
py3-rabbit-pyc-1.1.0-r8.apk
2024-10-25 20:12
15K
php85-pdo_odbc-8.5.0_alpha2-r0.apk
2025-07-16 04:02
15K
gssdp-dev-1.6.4-r0.apk
2025-06-28 23:47
16K
emacs-hackernews-0.7.0-r0.apk
2024-10-25 20:11
16K
geomyidae-0.34-r2.apk
2024-10-25 20:11
16K
fzy-1.1-r0.apk
2025-07-12 14:05
16K
py3-ciso8601-2.3.1-r1.apk
2024-10-25 20:12
16K
m2r2-pyc-0.3.3-r3.apk
2024-10-25 20:11
16K
py3-lib_users-0.15-r4.apk
2024-10-25 20:12
16K
py3-simpleeval-1.0.3-r0.apk
2025-06-24 19:38
16K
py3-zope-i18nmessageid-6.1.0-r2.apk
2024-10-25 20:12
16K
php85-xsl-8.5.0_alpha2-r0.apk
2025-07-16 04:02
16K
perl-future-http-doc-0.17-r0.apk
2024-10-25 20:12
16K
linuxptp-timemaster-4.4-r0.apk
2024-11-18 18:00
16K
epr-2.4.15-r1.apk
2024-10-25 20:11
16K
blackbox-1.20220610-r1.apk
2024-10-25 20:11
16K
perl-archive-extract-0.88-r1.apk
2024-10-25 20:12
16K
ocaml-trie-1.0.0-r2.apk
2024-10-25 20:12
16K
aptdec-libs-1.8.0-r1.apk
2025-02-08 23:44
16K
readosm-1.1.0-r3.apk
2025-05-12 21:41
16K
zfsbootmenu-doc-2.3.0-r1.apk
2024-10-25 20:13
16K
ocaml-fileutils-doc-0.6.4-r2.apk
2024-10-25 20:12
16K
zile-doc-2.6.2-r1.apk
2024-10-25 20:13
16K
tang-15-r0.apk
2025-01-20 04:17
16K
py3-pytest-regtest-2.3.2-r1.apk
2025-02-17 09:34
16K
perl-css-inliner-4027-r0.apk
2025-06-16 11:51
16K
ol-dev-2.6-r0.apk
2025-05-01 06:23
16K
please-doc-0.5.5-r0.apk
2024-10-25 20:12
16K
sacc-1.07-r0.apk
2024-10-25 20:12
16K
baresip-dev-3.20.0-r1.apk
2025-06-01 16:07
16K
perl-xml-atom-doc-0.43-r0.apk
2024-10-25 20:12
16K
php85-pdo_mysql-8.5.0_alpha2-r0.apk
2025-07-16 04:02
16K
nkk-0_git20221010-r0.apk
2024-10-25 20:11
16K
py3-rpio-pyc-0.10.1-r8.apk
2024-10-25 20:12
16K
py3-testresources-pyc-2.0.1-r6.apk
2024-10-25 20:12
16K
py3-jaraco.vcs-pyc-2.4.1-r0.apk
2025-03-09 08:52
16K
perl-mojo-sqlite-3.009-r0.apk
2024-10-25 20:12
16K
coccinelle-doc-1.1.1-r2.apk
2024-10-25 20:11
16K
aravis-viewer-lang-0.8.31-r0.apk
2024-10-25 20:10
16K
py3-sphinxcontrib-mermaid-pyc-1.0.0-r0.apk
2025-05-08 12:15
16K
wok-lang-3.0.0-r6.apk
2024-10-25 20:13
16K
zsh-fzf-tab-0_git20220331-r1.apk
2024-10-25 20:13
16K
hexdiff-0.0.53-r3.apk
2025-05-25 07:51
16K
b2sum-20190729-r2.apk
2024-10-25 20:10
16K
fatback-doc-1.3-r2.apk
2024-10-25 20:11
16K
predict-doc-2.3.1-r0.apk
2024-11-23 19:05
16K
dropwatch-1.5.5-r0.apk
2025-06-25 13:38
16K
logtop-libs-0.7-r0.apk
2024-10-25 20:11
16K
ubuntu-archive-keyring-2023.11.28.1-r0.apk
2024-10-25 20:13
16K
py3-simber-pyc-0.2.6-r5.apk
2025-05-14 18:17
16K
pegasus-frontend-doc-16_alpha-r0.apk
2024-10-25 20:12
16K
py3-u-msgpack-pyc-2.8.0-r2.apk
2024-10-25 20:12
16K
wf-config-dev-0.9.0-r0.apk
2025-02-27 20:18
16K
xmppipe-0.16.0-r1.apk
2024-10-25 20:13
16K
py3-pprintpp-pyc-0.4.0-r1.apk
2024-10-25 20:12
16K
paperkey-1.6-r2.apk
2024-10-25 20:12
16K
libhomfly-1.02_p6-r1.apk
2024-10-25 20:11
16K
py3-barcodenumber-0.2.1-r10.apk
2024-10-25 20:12
16K
py3-businesstime-pyc-0.3.0-r9.apk
2024-10-25 20:12
16K
perl-git-repository-1.325-r0.apk
2024-10-25 20:12
16K
py3-sphinxcontrib-doxylink-pyc-1.12.3-r0.apk
2024-12-06 22:58
16K
libsimpleble-c-0.10.3-r0.apk
2025-07-11 02:16
16K
shc-4.0.3-r2.apk
2024-10-25 20:12
16K
rinetd-doc-0.73-r0.apk
2024-10-25 20:12
16K
py3-aiosasl-doc-0.5.0-r4.apk
2024-10-25 20:12
16K
py3-flask-mailman-1.1.1-r0.apk
2024-10-25 20:12
16K
py3-simpleeval-pyc-1.0.3-r0.apk
2025-06-24 19:38
16K
py3-sphinxcontrib-programoutput-0.17-r5.apk
2024-10-25 20:12
16K
mdp-1.0.18-r0.apk
2025-07-10 02:27
16K
py3-ppk2-api-0.9.2-r0.apk
2024-11-30 21:09
16K
py3-dash-bootstrap-components-1.6.0-r0.apk
2025-04-13 09:22
16K
py3-imageio-ffmpeg-0.4.9-r1.apk
2024-10-25 20:12
16K
php85-xmlreader-8.5.0_alpha2-r0.apk
2025-07-16 04:02
16K
gkrellm-dev-2.3.11-r0.apk
2025-01-08 22:36
17K
py3-ppk2-api-pyc-0.9.2-r0.apk
2024-11-30 21:09
17K
hyfetch-doc-1.99.0-r1.apk
2024-10-25 20:11
17K
fungw-mujs-1.2.1-r0.apk
2024-12-30 09:48
17K
wayback-0_git20250722-r0.apk
2025-07-22 19:09
17K
startup-lang-2.0.3-r5.apk
2024-10-25 20:12
17K
py3-vdf-pyc-3.4-r1.apk
2024-10-25 20:12
17K
py3-itemloaders-pyc-1.3.2-r0.apk
2024-10-25 20:12
17K
py3-editdistance-s-1.0.0-r6.apk
2024-10-25 20:12
17K
py3-pylru-1.2.1-r1.apk
2024-10-25 20:12
17K
infnoise-0.3.3-r0.apk
2025-05-26 08:58
17K
py3-lzo-1.16-r1.apk
2024-10-25 20:12
17K
dmenu-wl-0.1-r0.apk
2025-07-02 10:32
17K
perl-indirect-0.39-r2.apk
2025-07-01 19:19
17K
logc-argp-0.5.0-r1.apk
2025-06-13 14:22
17K
llmnrd-0.7-r1.apk
2024-10-25 20:11
17K
rustic-fish-completion-0.9.3-r0.apk
2024-10-25 20:12
17K
perl-sql-abstract-more-doc-1.44-r0.apk
2025-07-14 05:59
17K
py3-wsgiprox-1.5.2-r1.apk
2024-10-25 20:12
17K
hping3-doc-20051105-r4.apk
2024-10-25 20:11
17K
junit2html-31.0.2-r0.apk
2024-10-25 20:11
17K
perl-getopt-tabular-doc-0.3-r4.apk
2024-10-25 20:12
17K
py3-pymeta3-0.5.1-r6.apk
2024-10-25 20:12
17K
libcpdf-dev-2.8.1-r0.apk
2025-05-08 12:15
17K
perl-adapter-async-doc-0.019-r0.apk
2024-10-25 20:12
17K
xmag-1.0.8-r0.apk
2024-10-25 20:13
17K
py3-flake8-import-order-pyc-0.18.2-r4.apk
2024-10-25 20:12
17K
repo-2.53-r0.apk
2025-03-20 03:18
17K
alarmwakeup-dbg-0.2.1-r0.apk
2024-10-25 20:10
17K
py3-pybars3-pyc-0.9.7-r6.apk
2024-10-25 20:12
17K
git-bug-doc-0.8.1-r2.apk
2025-07-10 02:26
17K
py3-proxmoxer-2.2.0-r0.apk
2024-12-16 11:36
17K
php81-pecl-psr-1.2.0-r0.apk
2024-10-25 20:12
17K
gammastep-pyc-2.0.9-r3.apk
2024-10-25 20:11
17K
wsmancli-2.8.0-r0.apk
2025-07-14 09:41
17K
ocaml-pbkdf-1.2.0-r2.apk
2024-10-25 20:12
17K
lomiri-download-manager-dev-0.2.1-r0.apk
2025-04-13 23:14
17K
fungw-duktape-1.2.1-r0.apk
2024-12-30 09:48
17K
ocp-indent-doc-1.8.2-r2.apk
2024-10-25 20:12
17K
xf86-video-vboxvideo-1.0.0-r1.apk
2024-10-25 20:13
17K
raku-file-find-0.2.1-r0.apk
2025-06-08 16:33
17K
fuseiso-20070708-r0.apk
2025-04-14 01:10
17K
dvdbackup-0.4.2-r1.apk
2024-10-25 20:11
17K
py3-dpath-2.2.0-r0.apk
2024-10-25 20:12
17K
i2util-4.2.1-r1.apk
2024-10-25 20:11
17K
py3-markdownify-pyc-1.1.0-r0.apk
2025-04-17 23:03
17K
git-secret-doc-0.5.0-r0.apk
2024-10-25 20:11
17K
perl-html-formatexternal-26-r0.apk
2025-06-07 14:55
17K
py3-bencode-4.0.0-r1.apk
2024-10-25 20:12
17K
py3-sphinxcontrib-git-11.0.0-r7.apk
2024-10-25 20:12
17K
newsyslog-1.2.0.91-r1.apk
2024-10-25 20:11
17K
py3-tls_parser-pyc-2.0.2-r0.apk
2025-07-14 03:36
17K
libecap-static-1.0.1-r1.apk
2024-10-25 20:11
17K
xvidtune-1.0.4-r0.apk
2024-10-25 20:13
17K
epic6-doc-0_git20250630-r0.apk
2025-07-21 08:40
17K
py3-sphinxcontrib-phpdomain-pyc-0.13.0-r0.apk
2025-07-12 16:03
17K
py3-orderedmultidict-pyc-1.0.1-r7.apk
2024-10-25 20:12
17K
xa-doc-2.4.1-r0.apk
2025-02-25 12:36
17K
twemproxy-doc-0.5.0-r0.apk
2024-10-25 20:13
17K
py3-kerberos-1.3.1-r5.apk
2024-10-25 20:12
17K
hiawatha-letsencrypt-11.6-r1.apk
2025-05-27 11:10
17K
arc-metacity-20221218-r0.apk
2024-10-25 20:10
17K
perl-data-dump-streamer-doc-2.42-r1.apk
2025-07-01 19:19
17K
py3-actdiag-3.0.0-r5.apk
2024-10-25 20:12
17K
arc-lighter-metacity-20221218-r0.apk
2024-10-25 20:10
17K
snapraid-doc-12.4-r0.apk
2025-05-09 17:37
17K
gsimplecal-2.5.1-r0.apk
2024-10-25 20:11
17K
php81-bcmath-8.1.33-r0.apk
2025-07-02 22:19
17K
zarchive-0.1.2-r2.apk
2024-10-25 20:13
17K
py3-testresources-2.0.1-r6.apk
2024-10-25 20:12
17K
emacs-sqlite3-api-0.18-r0.apk
2024-10-25 20:11
17K
gpscorrelate-lang-2.3-r0.apk
2025-03-27 06:36
17K
py3-phx-class-registry-pyc-5.0.0-r0.apk
2024-10-25 20:12
17K
py3-googletrans-pyc-3.0.0-r5.apk
2024-10-25 20:12
17K
libfort-dev-0.4.2-r0.apk
2024-10-25 20:11
17K
wlclock-1.0.1-r0.apk
2024-10-25 20:13
17K
spvm-errno-0.093-r1.apk
2025-07-01 19:19
17K
bwrap-oci-0.2-r1.apk
2024-10-25 20:11
17K
cvs-fast-export-doc-1.65-r0.apk
2024-10-25 20:11
17K
arc-dark-metacity-20221218-r0.apk
2024-10-25 20:10
17K
hyx-2024.02.29-r0.apk
2024-10-25 20:11
17K
arc-darker-metacity-20221218-r0.apk
2024-10-25 20:10
17K
py3-truststore-0.10.1-r0.apk
2025-02-10 06:06
17K
py3-soapy_power-1.6.1-r5.apk
2024-10-25 20:12
17K
libeantic-dev-2.1.0-r1.apk
2025-06-13 05:13
18K
py3-recommonmark-pyc-0.7.1-r4.apk
2024-10-25 20:12
18K
libideviceactivation-1.1.1-r5.apk
2024-10-30 22:44
18K
perl-dbix-lite-doc-0.36-r0.apk
2024-12-30 06:37
18K
openscap-daemon-doc-0.1.10-r9.apk
2024-10-25 20:12
18K
py3-pyrebase-pyc-3.0.27-r5.apk
2024-10-25 20:12
18K
octoprint-firmwarecheck-pyc-2021.10.11-r2.apk
2024-10-25 20:12
18K
emacs-total-recall-0_git20250426-r0.apk
2025-04-30 08:17
18K
py3-ansi2html-1.9.2-r0.apk
2024-10-25 20:12
18K
perl-xml-stream-doc-1.24-r0.apk
2024-10-25 20:12
18K
perl-html-tableextract-2.15-r4.apk
2024-10-25 20:12
18K
abnfgen-0.21-r0.apk
2025-05-27 21:26
18K
remind-caldav-0.8.0-r4.apk
2024-10-25 20:12
18K
emacs-taxy-magit-section-0.14.3-r0.apk
2025-04-23 21:27
18K
emacs-emacsql-sqlite-3.1.1_git20240714-r0.apk
2024-10-25 20:11
18K
surfraw-doc-2.3.0-r0.apk
2024-10-25 20:12
18K
atool-0.39.0-r4.apk
2024-10-25 20:10
18K
xsecurelock-doc-1.9.0-r1.apk
2024-10-25 20:13
18K
pacparser-doc-1.4.5-r1.apk
2024-10-25 20:12
18K
py3-dpath-pyc-2.2.0-r0.apk
2024-10-25 20:12
18K
siril-doc-1.2.6-r3.apk
2025-07-07 07:25
18K
persistent-cache-cpp-dev-1.0.7-r4.apk
2025-02-17 09:34
18K
ocaml-randomconv-dev-0.1.3-r2.apk
2024-10-25 20:12
18K
rmlint-doc-2.10.2-r2.apk
2024-10-25 20:12
18K
uclient-20241022-r0.apk
2025-05-29 22:00
18K
perl-datetime-set-doc-0.3900-r0.apk
2025-07-21 08:40
18K
libiio-doc-0.25-r2.apk
2024-10-25 20:11
18K
py3-cython-test-exception-raiser-1.0.2-r0.apk
2024-10-25 20:12
18K
py3-blockchain-pyc-1.4.4-r7.apk
2024-10-25 20:12
18K
licenseheaders-0.8.8-r4.apk
2024-10-25 20:11
18K
perl-catalyst-model-dbic-schema-doc-0.66-r0.apk
2025-06-11 16:36
18K
py3-sphinxcontrib-cartouche-1.1.2-r7.apk
2024-10-25 20:12
18K
xiccd-0.3.0_git20211219-r1.apk
2024-10-25 20:13
18K
ldapdomaindump-0.9.4-r2.apk
2025-05-29 12:07
18K
hatop-0.8.2-r0.apk
2024-10-25 20:11
18K
circuslinux-doc-1.0.3-r1.apk
2024-10-25 20:11
18K
anarch-doc-1.0-r1.apk
2024-10-25 20:10
18K
py3-pymaging-0.0.20130908-r10.apk
2024-10-25 20:12
18K
perl-opentracing-1.006-r0.apk
2024-10-25 20:12
18K
perl-http-headers-actionpack-0.09-r0.apk
2025-06-13 18:17
18K
perl-dbix-lite-0.36-r0.apk
2024-12-30 06:37
18K
py3-feedgenerator-2.1.0-r2.apk
2024-10-25 20:12
18K
mdnsd-static-0.12-r1.apk
2024-10-25 20:11
18K
py3-flask-qrcode-3.2.0-r0.apk
2024-12-12 06:32
18K
mobpass-0.2-r6.apk
2024-10-25 20:11
18K
perl-datetime-format-flexible-0.37-r0.apk
2024-12-31 13:26
18K
diceware-pyc-1.0.1-r0.apk
2025-01-13 22:49
18K
fnf-0.1-r0.apk
2024-10-25 20:11
18K
py3-sphinxcontrib-httpdomain-1.8.1-r3.apk
2024-10-25 20:12
18K
xva-img-1.5-r0.apk
2024-10-25 20:13
18K
py3-flake8-isort-6.1.1-r1.apk
2024-10-25 20:12
18K
visidata-doc-3.2-r0.apk
2025-06-20 11:40
18K
lua5.1-linenoise-0.9-r1.apk
2024-10-25 20:11
18K
sthttpd-doc-2.27.1-r2.apk
2024-10-25 20:12
18K
perl-cpan-changes-doc-0.500004-r0.apk
2024-10-25 20:12
18K
imrsh-dbg-0_git20210320-r1.apk
2024-10-25 20:11
18K
py3-flake8-copyright-0.2.4-r3.apk
2024-10-25 20:12
18K
lua5.4-linenoise-0.9-r1.apk
2024-10-25 20:11
18K
lua5.2-linenoise-0.9-r1.apk
2024-10-25 20:11
18K
lua5.3-linenoise-0.9-r1.apk
2024-10-25 20:11
18K
liberasurecode-dev-1.6.3-r1.apk
2024-10-25 20:11
18K
py3-flask-cache-pyc-0.13.1-r9.apk
2024-10-25 20:12
18K
py3-libpyshell-pyc-0.4.1-r1.apk
2025-06-10 09:39
18K
py3-aioxmpp-doc-0.13.3-r3.apk
2024-10-25 20:12
18K
wayqt-dev-0.3.0-r0.apk
2025-04-21 21:41
18K
droidcam-2.1.3-r1.apk
2024-11-08 21:25
18K
cowsay-3.04-r2.apk
2024-10-25 20:11
18K
lld21-dev-21.1.0_rc1-r1.apk
2025-07-22 15:50
18K
speedtest-doc-5.2.5-r1.apk
2024-10-25 20:12
18K
licenseheaders-pyc-0.8.8-r4.apk
2024-10-25 20:11
18K
ocaml-hkdf-dev-1.0.4-r2.apk
2024-10-25 20:12
18K
py3-flask-migrate-pyc-4.1.0-r0.apk
2025-07-13 21:53
18K
php81-iconv-8.1.33-r0.apk
2025-07-02 22:19
18K
py3-yara-4.5.1-r0.apk
2024-10-25 20:12
18K
ssdfs-tools-dev-4.09-r0.apk
2024-10-25 20:12
18K
dfl-login1-0.2.0-r0.apk
2024-10-25 20:11
18K
py3-wbdata-1.0.0-r1.apk
2024-10-25 20:12
18K
py3-bson-pyc-0.5.10-r6.apk
2024-10-25 20:12
19K
py3-spin-0.8-r0.apk
2024-10-25 20:12
19K
gkrellm-doc-2.3.11-r0.apk
2025-01-08 22:36
19K
py3-pltable-1.1.0-r1.apk
2024-11-13 06:38
19K
lbb-doc-0.9.1-r4.apk
2025-07-10 02:27
19K
py3-svgpath-7.0-r0.apk
2025-07-08 06:16
19K
py3-vatnumber-1.2-r9.apk
2024-10-25 20:12
19K
py3-iniparse-0.5-r7.apk
2024-10-25 20:12
19K
libmpfi-doc-1.5.4-r2.apk
2024-10-25 20:11
19K
py3-helper-2.5.0-r5.apk
2024-10-25 20:12
19K
php81-pecl-yaml-2.2.4-r0.apk
2024-10-25 20:12
19K
py3-enzyme-pyc-0.5.2-r0.apk
2025-07-12 15:29
19K
py3-evohome-client-0.3.9-r0.apk
2025-07-13 21:33
19K
py3-agithub-2.2.2-r7.apk
2025-03-19 11:46
19K
py3-aiohttp-remotes-pyc-1.3.0-r0.apk
2024-11-04 12:28
19K
php85-iconv-8.5.0_alpha2-r0.apk
2025-07-16 04:02
19K
ocaml-ppx_blob-dev-0.8.0-r0.apk
2024-10-25 20:12
19K
rmlint-lang-2.10.2-r2.apk
2024-10-25 20:12
19K
py3-sphinxcontrib-spelling-pyc-8.0.1-r0.apk
2025-07-13 21:34
19K
php81-pecl-decimal-1.5.0-r1.apk
2024-10-25 20:12
19K
php85-pecl-yaml-2.2.4-r0.apk
2025-07-02 18:59
19K
razercfg-gui-0.42-r7.apk
2024-10-25 20:12
19K
libemf2svg-utils-1.1.0-r2.apk
2024-10-25 20:11
19K
emacs-svg-lib-0_git20240219-r0.apk
2024-10-25 20:11
19K
moe-doc-1.14-r0.apk
2024-10-25 20:11
19K
musikcube-dev-3.0.4-r1.apk
2025-01-26 19:50
19K
mdnsd-libs-0.12-r1.apk
2024-10-25 20:11
19K
py3-pathvalidate-3.3.1-r0.apk
2025-07-12 15:21
19K
lua-libmodbus-doc-0.6.1-r0.apk
2024-10-25 20:11
19K
boson-0_git20211219-r0.apk
2024-10-25 20:11
19K
ddcci-driver-linux-src-0.4.5-r2.apk
2025-03-19 11:45
19K
perl-catalyst-model-dbic-schema-0.66-r0.apk
2025-06-11 16:36
19K
py3-aioopenssl-pyc-0.6.0-r4.apk
2024-10-25 20:12
19K
perl-protocol-database-postgresql-2.001-r0.apk
2024-10-25 20:12
19K
ocaml-gettext-doc-0.4.2-r3.apk
2024-10-25 20:12
19K
perl-autobox-3.0.2-r1.apk
2025-07-01 19:19
19K
onioncat-doc-4.11.0-r1.apk
2024-10-25 20:12
19K
perl-hash-ordered-doc-0.014-r0.apk
2024-10-25 20:12
19K
rizin-doc-0.8.1-r0.apk
2025-07-01 19:19
19K
perl-bsd-resource-1.2911-r11.apk
2025-07-01 19:19
19K
freealut-1.1.0-r1.apk
2024-10-25 20:11
19K
py3-cobs-1.2.0-r4.apk
2024-10-25 20:12
19K
libdbusaccess-1.0.20-r0.apk
2025-04-13 22:18
19K
sdparm-doc-1.12-r1.apk
2024-10-25 20:12
19K
materia-kde-20220823-r0.apk
2024-10-25 20:11
19K
shellinabox-doc-2.21-r3.apk
2024-10-25 20:12
19K
perl-mojo-sqlite-doc-3.009-r0.apk
2024-10-25 20:12
19K
flatpak-xdg-utils-1.0.6-r0.apk
2024-10-25 20:11
19K
php81-pecl-ast-1.1.2-r0.apk
2024-10-25 20:12
19K
py3-schema-0.7.7-r0.apk
2025-07-07 16:11
19K
bootinfo-0.1.0-r4.apk
2024-10-25 20:11
19K
php85-pcntl-8.5.0_alpha2-r0.apk
2025-07-16 04:02
19K
ampy-pyc-1.1.0-r6.apk
2025-03-19 11:45
19K
perl-b-utils-0.27-r1.apk
2025-07-01 19:19
19K
hexedit-1.6_git20230905-r0.apk
2024-10-25 20:11
19K
py3-pytest-subprocess-1.5.2-r0.apk
2024-10-25 20:12
20K
greetd-mini-wl-greeter-0_git20230821-r0.apk
2024-10-25 20:11
20K
lua-lupa-1.0-r0.apk
2024-10-25 20:11
20K
py3-wbdata-pyc-1.0.0-r1.apk
2024-10-25 20:12
20K
libofx-dev-0.10.9-r1.apk
2024-10-25 20:11
20K
perl-xml-atom-0.43-r0.apk
2024-10-25 20:12
20K
openspades-doc-0.1.3-r5.apk
2024-10-25 20:12
20K
liblastfm-qt-dev-1.1.10_git20190823-r3.apk
2024-10-25 20:11
20K
gtklock-4.0.0-r0.apk
2025-01-31 16:16
20K
py3-py-radix-0.10.0-r10.apk
2024-10-25 20:12
20K
perl-gtk3-0.038-r1.apk
2024-10-25 20:12
20K
py3-deluge-client-pyc-1.10.2-r0.apk
2024-10-25 20:12
20K
pimd-dense-doc-2.1.0-r0.apk
2024-10-25 20:12
20K
linuxptp-tz2alt-4.4-r0.apk
2024-11-18 18:00
20K
py3-gevent-websocket-0.10.1-r8.apk
2024-10-25 20:12
20K
ocaml-cmdliner-doc-1.1.1-r3.apk
2024-10-25 20:12
20K
perl-test-trap-0.3.5-r1.apk
2024-10-25 20:12
20K
perl-gearman-doc-2.004.015-r3.apk
2024-10-25 20:12
20K
ocaml-mirage-net-dev-4.0.0-r3.apk
2024-10-25 20:12
20K
py3-flask-restaction-pyc-0.25.3-r8.apk
2024-10-25 20:12
20K
xkb-switch-1.8.5-r1.apk
2025-05-14 18:17
20K
circuslinux-1.0.3-r1.apk
2024-10-25 20:11
20K
php85-pdo_sqlite-8.5.0_alpha2-r0.apk
2025-07-16 04:02
20K
perl-ffi-c-0.15-r0.apk
2024-10-25 20:12
20K
lzfse-1.0-r0.apk
2024-10-25 20:11
20K
perl-test-trap-doc-0.3.5-r1.apk
2024-10-25 20:12
20K
perl-tree-simple-visitorfactory-0.16-r0.apk
2025-03-19 11:46
20K
perl-datetime-set-0.3900-r0.apk
2025-07-21 08:40
20K
neko-doc-2.3.0-r0.apk
2024-11-20 22:26
20K
xfce4-panel-profiles-doc-1.1.1-r0.apk
2025-07-06 09:16
20K
apk-snap-doc-3.1.1-r0.apk
2024-10-25 20:10
20K
py3-incoming-pyc-0.3.1-r8.apk
2024-10-25 20:12
20K
ocaml-seq-dev-0.3.1-r2.apk
2024-10-25 20:12
20K
bootterm-0.5-r0.apk
2024-10-25 20:11
20K
ydcv-0.7-r8.apk
2024-10-25 20:13
20K
php81-xml-8.1.33-r0.apk
2025-07-02 22:19
20K
raku-base64-0.1.0-r0.apk
2025-06-07 07:44
20K
lomiri-app-launch-dev-0.1.12-r1.apk
2025-06-28 18:16
20K
ocaml-mirage-kv-4.0.1-r3.apk
2024-10-25 20:12
20K
vim-rust-305-r0.apk
2024-10-25 20:13
20K
perl-test-expander-doc-2.5.1-r0.apk
2024-10-25 20:12
20K
py3-piccata-2.0.3-r1.apk
2024-10-25 20:12
20K
ruby-minitest-reporters-1.7.1-r0.apk
2025-07-06 18:48
20K
ddgr-2.2-r0.apk
2024-10-25 20:11
20K
materia-light-kde-plasma-20220823-r0.apk
2024-10-25 20:11
20K
php81-tidy-8.1.33-r0.apk
2025-07-02 22:19
20K
libhomfly-dev-1.02_p6-r1.apk
2024-10-25 20:11
20K
py3-senf-1.5.0-r0.apk
2025-06-27 02:59
20K
perl-sql-abstract-classic-doc-1.91-r1.apk
2024-10-25 20:12
20K
kcbench-doc-0.9.12-r0.apk
2025-04-25 06:18
20K
kubeone-doc-1.10.0-r1.apk
2025-07-10 02:27
20K
py3-imageio-ffmpeg-pyc-0.4.9-r1.apk
2024-10-25 20:12
20K
perl-web-machine-0.17-r0.apk
2025-06-13 18:17
20K
portsmf-dev-239-r1.apk
2024-10-25 20:12
20K
ptpd-doc-2.3.1-r1.apk
2024-10-25 20:12
20K
perl-expect-doc-1.38-r0.apk
2025-04-19 19:01
20K
py3-libnacl-2.1.0-r1.apk
2024-10-25 20:12
20K
py3-nmap-0.7.1-r4.apk
2024-10-25 20:12
20K
libiscsi-dev-1.19.0-r2.apk
2024-10-25 20:11
20K
eiwd-doc-3.9-r0.apk
2025-07-22 12:27
20K
py3-pyisbn-1.3.1-r3.apk
2024-10-25 20:12
20K
sqm-scripts-1.6.0-r0.apk
2024-10-25 20:12
20K
powerstat-0.04.01-r0.apk
2024-10-25 20:12
20K
nmap-parse-output-1.5.1-r1.apk
2025-05-29 12:07
20K
py3-sphinxcontrib-restbuilder-pyc-0.3-r6.apk
2024-10-25 20:12
20K
py3-schema-pyc-0.7.7-r0.apk
2025-07-07 16:11
21K
py3-teletype-pyc-1.3.4-r3.apk
2024-10-25 20:12
21K
tang-doc-15-r0.apk
2025-01-20 04:17
21K
py3-poetry-dynamic-versioning-1.9.0-r0.apk
2025-07-05 22:56
21K
htmlcxx-dev-0.87-r1.apk
2024-10-25 20:11
21K
plakar-doc-1.0.2-r0.apk
2025-07-22 16:07
21K
libgrapheme-doc-2.0.2-r0.apk
2025-07-22 20:52
21K
gxlimg-0_git20240711-r0.apk
2025-01-21 19:44
21K
adjtimex-1.29-r0.apk
2024-10-25 20:10
21K
iprange-1.0.4-r1.apk
2024-10-25 20:11
21K
php85-gmp-8.5.0_alpha2-r0.apk
2025-07-16 04:02
21K
py3-altgraph-0.17.4-r1.apk
2024-10-25 20:12
21K
detox-doc-2.0.0-r0.apk
2024-10-25 20:11
21K
php81-pdo_pgsql-8.1.33-r0.apk
2025-07-02 22:19
21K
readosm-dev-1.1.0-r3.apk
2025-05-12 21:41
21K
fusee-nano-0.5.3-r1.apk
2024-10-25 20:11
21K
py3-aioopenssl-0.6.0-r4.apk
2024-10-25 20:12
21K
dulcepan-1.0.2-r0.apk
2024-10-25 20:11
21K
zita-resampler-1.11.2-r0.apk
2025-04-14 21:16
21K
php85-tidy-8.5.0_alpha2-r0.apk
2025-07-16 04:02
21K
bump2version-1.0.1-r6.apk
2024-10-25 20:11
21K
tup-doc-0.7.11-r1.apk
2025-06-19 08:56
21K
py3-firmata-pyc-1.0.3-r10.apk
2024-10-25 20:12
21K
php81-pecl-smbclient-1.2.0_pre-r0.apk
2024-12-10 19:02
21K
py3-telegram-pyc-0.18.0-r3.apk
2024-10-25 20:12
21K
py3-telemetrix-1.20-r3.apk
2024-10-25 20:12
21K
libiio-pyc-0.25-r2.apk
2024-10-25 20:11
21K
php82-pecl-excimer-1.2.5-r0.apk
2025-05-20 15:43
21K
perl-http-xsheaders-0.400005-r2.apk
2025-07-01 19:19
21K
py3-numpy-stl-3.2.0-r0.apk
2024-12-01 02:04
21K
hiawatha-doc-11.6-r1.apk
2025-05-27 11:10
21K
php83-pecl-excimer-1.2.5-r0.apk
2025-05-20 15:43
21K
zvbi-doc-0.2.44-r0.apk
2025-03-11 20:28
21K
py3-pure_protobuf-3.1.2-r0.apk
2024-10-25 20:12
21K
recoll-doc-1.37.5-r1.apk
2024-10-25 20:12
21K
perl-extutils-xsbuilder-doc-0.28-r5.apk
2024-10-25 20:12
21K
nvim-packer-doc-0.0.0_git20220910-r1.apk
2024-10-25 20:11
21K
cups-pdf-3.0.2-r0.apk
2025-07-04 21:11
21K
dnsenum-1.3.2-r0.apk
2024-10-25 20:11
21K
ubase-doc-20200605-r3.apk
2024-10-25 20:13
21K
nginx-ultimate-bad-bot-blocker-doc-4.2023.10.4046-r1.apk
2024-10-25 20:11
21K
ruff-lsp-0.0.62-r0.apk
2025-03-06 22:29
21K
vbindiff-3.0_beta5-r2.apk
2025-05-25 07:51
21K
py3-agithub-pyc-2.2.2-r7.apk
2025-03-19 11:46
21K
gummiboot-efistub-48.1-r11.apk
2025-07-20 21:07
21K
py3-linkify-it-py-2.0.3-r1.apk
2024-10-25 20:12
21K
py3-logtop-0.7-r0.apk
2024-10-25 20:12
21K
py3-nptyping-2.5.0-r3.apk
2024-10-25 20:12
21K
py3-unidns-pyc-0.0.1-r3.apk
2025-05-29 12:07
21K
py3-sphinxcontrib-hydomain-0.1.0_git20230930-r1.apk
2024-10-25 20:12
21K
php81-gmp-8.1.33-r0.apk
2025-07-02 22:19
21K
libspatialindex-dev-2.1.0-r0.apk
2025-05-31 10:52
21K
horizon-doc-0.9.6-r9.apk
2024-10-25 20:11
21K
py3-actdiag-pyc-3.0.0-r5.apk
2024-10-25 20:12
21K
materia-light-kde-yakuake-20220823-r0.apk
2024-10-25 20:11
21K
dfl-ipc-0.2.0-r0.apk
2024-10-25 20:11
22K
varnish-modules-doc-0.26.0-r0.apk
2025-07-06 18:48
22K
lkrg-doc-0.9.6-r1.apk
2025-01-09 11:44
22K
starfighter-doc-2.4-r0.apk
2024-10-25 20:12
22K
libserialport-0.1.1-r1.apk
2024-10-25 20:11
22K
fulcrum-doc-1.9.8-r1.apk
2024-10-25 20:11
22K
py3-pytest-html-4.1.1-r1.apk
2024-10-25 20:12
22K
wiremapper-0.10.0-r0.apk
2024-10-25 20:13
22K
perl-xml-xpathengine-0.14-r0.apk
2025-07-08 16:54
22K
bindfs-1.17.7-r1.apk
2025-06-19 08:56
22K
materia-dark-kde-yakuake-20220823-r0.apk
2024-10-25 20:11
22K
perl-alien-base-modulebuild-1.17-r0.apk
2025-06-08 16:33
22K
py3-pytest-html-pyc-4.1.1-r1.apk
2024-10-25 20:12
22K
libnxml-0.18.3-r0.apk
2024-10-25 20:11
22K
efibootguard-dev-0.16-r1.apk
2025-07-20 21:07
22K
libmrss-0.19.2-r1.apk
2024-10-25 20:11
22K
perl-net-idn-encode-doc-2.500-r2.apk
2025-07-01 19:19
22K
py3-pyroma-4.2-r0.apk
2024-10-25 20:12
22K
py3-ansi2html-pyc-1.9.2-r0.apk
2024-10-25 20:12
22K
py3-virtualenvwrapper-6.1.0-r1.apk
2024-10-25 20:12
22K
qmk-cli-pyc-1.1.8-r0.apk
2025-06-01 16:52
22K
py3-wgconfig-1.1.0-r0.apk
2025-01-29 06:49
22K
libnfc-doc-1.8.0-r1.apk
2024-10-25 20:11
22K
tuned-gtk-2.25.1-r2.apk
2025-05-28 10:42
22K
xmp-4.2.0-r0.apk
2024-10-25 20:13
22K
minimodem-0.24-r1.apk
2024-10-25 20:11
22K
py3-cstruct-5.3-r1.apk
2024-10-25 20:12
22K
perl-moosex-methodattributes-doc-0.32-r0.apk
2025-03-19 11:46
22K
perl-mastodon-client-0.017-r0.apk
2024-10-25 20:12
22K
py3-aiowinreg-0.0.12-r1.apk
2025-05-29 12:07
22K
py3-sphinx-argparse-pyc-0.5.2-r0.apk
2024-10-25 20:12
22K
perl-net-patricia-1.22-r13.apk
2025-07-01 19:19
22K
perl-flowd-0.9.1-r11.apk
2025-07-01 19:19
22K
py3-linux-procfs-pyc-0.7.3-r0.apk
2025-01-13 21:19
22K
raku-json-optin-0.0.2-r0.apk
2025-06-07 07:44
22K
ruby-fast_gettext-3.1.0-r0.apk
2025-05-25 23:58
22K
py3-mando-0.7.1-r3.apk
2024-10-25 20:12
22K
musikcube-plugin-stockencoders-3.0.4-r1.apk
2025-01-26 19:50
22K
cdba-server-1.0-r2.apk
2024-10-25 20:11
22K
timewarrior-doc-1.7.1-r0.apk
2024-10-25 20:12
22K
py3-dexml-0.5.1-r9.apk
2024-10-25 20:12
22K
py3-coreapi-2.3.3-r9.apk
2024-10-25 20:12
22K
sblim-sfcc-dev-2.2.8-r3.apk
2024-10-25 20:12
22K
pmccabe-2.8-r1.apk
2024-10-25 20:12
22K
py3-html5-parser-pyc-0.4.12-r1.apk
2024-10-25 20:12
22K
perl-dbix-connector-doc-0.60-r0.apk
2024-12-30 06:37
22K
policycoreutils-doc-3.6-r1.apk
2024-10-25 20:12
22K
flintqs-1.0-r1.apk
2024-10-25 20:11
22K
php81-sqlite3-8.1.33-r0.apk
2025-07-02 22:19
22K
perl-glib-ex-objectbits-doc-17-r0.apk
2024-10-25 20:12
22K
php81-snmp-8.1.33-r0.apk
2025-07-02 22:19
22K
zycore-1.5.0-r1.apk
2025-07-11 04:50
22K
bestline-0.0_git20211108-r0.apk
2024-10-25 20:10
22K
tree-sitter-hcl-1.2.0-r0.apk
2025-06-19 00:25
22K
swi-prolog-pyc-9.2.9-r0.apk
2024-12-21 10:10
22K
fungw-cli-1.2.1-r0.apk
2024-12-30 09:48
22K
py3-pymata-2.20-r4.apk
2024-10-25 20:12
22K
py3-remind-pyc-0.19.2-r0.apk
2025-04-23 21:27
23K
surf-2.1-r3.apk
2024-10-25 20:12
23K
sregex-0.0.1-r1.apk
2024-10-25 20:12
23K
perl-rxperl-doc-6.29.8-r0.apk
2024-10-25 20:12
23K
ocaml-containers-top-3.7-r2.apk
2024-10-25 20:12
23K
emacs-emacsql-3.1.1_git20240714-r0.apk
2024-10-25 20:11
23K
tanidvr-1.4.1-r2.apk
2025-03-21 11:52
23K
htslib-doc-1.19-r0.apk
2024-10-25 20:11
23K
py3-pyvcd-0.4.1-r0.apk
2024-11-11 21:46
23K
py3-geoip-1.3.2-r4.apk
2024-10-25 20:12
23K
py3-enzyme-0.5.2-r0.apk
2025-07-12 15:29
23K
ocaml-lwt-dllist-1.0.1-r3.apk
2024-10-25 20:12
23K
py3-pysrt-pyc-1.1.2-r5.apk
2025-05-14 18:17
23K
perl-css-object-0.2.0-r0.apk
2024-10-25 20:12
23K
emacs-ace-window-0.10.0_git20220911-r0.apk
2024-10-25 20:11
23K
perl-database-async-0.019-r0.apk
2024-10-25 20:12
23K
dooit-extras-pyc-0.2.0-r0.apk
2024-12-07 20:23
23K
autotrash-0.4.7-r0.apk
2024-10-25 20:10
23K
nlopt-doc-2.10.0-r1.apk
2025-06-04 13:53
23K
tncattach-0.1.9-r1.apk
2024-10-25 20:12
23K
perl-set-infinite-0.65-r0.apk
2025-07-21 08:40
23K
libcyaml-1.4.2-r0.apk
2024-10-25 20:11
23K
aoetools-37-r2.apk
2025-01-14 16:36
23K
libsemanage-doc-3.6-r1.apk
2024-10-25 20:11
23K
planarity-dev-4.0.0.0-r0.apk
2025-03-16 10:06
23K
perl-html-formatexternal-doc-26-r0.apk
2025-06-07 14:55
23K
pam-krb5-4.11-r1.apk
2024-10-25 20:12
23K
py3-pymata4-1.15-r4.apk
2024-10-25 20:12
23K
py3-tasklib-2.5.1-r2.apk
2024-10-25 20:12
23K
pfetch-1.9.0-r0.apk
2025-04-11 18:13
23K
clevis-doc-21-r0.apk
2025-01-20 04:17
23K
kodaskanna-lang-0.2.2-r0.apk
2025-01-20 08:16
23K
libibumad-doc-1.3.10.2-r3.apk
2024-10-25 20:11
23K
perl-getopt-tabular-0.3-r4.apk
2024-10-25 20:12
23K
lua5.2-luacov-0.15.0-r0.apk
2024-10-25 20:11
23K
lua5.3-luacov-0.15.0-r0.apk
2024-10-25 20:11
23K
lua5.1-luacov-0.15.0-r0.apk
2024-10-25 20:11
23K
lua5.4-luacov-0.15.0-r0.apk
2024-10-25 20:11
23K
py3-compdb-0.2.0-r8.apk
2024-10-25 20:12
23K
tree-sitter-clojure-0.0.13-r0.apk
2025-07-23 11:59
23K
spvm-math-1.006-r1.apk
2025-07-01 19:19
23K
libirecovery-1.2.1-r0.apk
2024-10-30 22:44
23K
py3-linkify-it-py-pyc-2.0.3-r1.apk
2024-10-25 20:12
23K
py3-minidb-pyc-2.0.8-r0.apk
2024-11-13 18:50
23K
admesh-doc-0.98.5-r0.apk
2024-10-25 20:10
23K
mdnsd-0.12-r1.apk
2024-10-25 20:11
23K
musikcube-plugin-mpris-3.0.4-r1.apk
2025-01-26 19:50
23K
shipments-0.3.0-r0.apk
2024-10-25 20:12
23K
emacs-derl-0_git20231004-r0.apk
2024-10-25 20:11
23K
lua5.2-xml-1.1.3-r2.apk
2024-10-25 20:11
23K
py3-bite-parser-pyc-0.2.5-r0.apk
2024-10-28 21:51
23K
perl-moosex-role-parameterized-doc-1.11-r0.apk
2025-03-15 14:08
24K
py3-timeago-1.0.16-r0.apk
2024-10-25 20:12
24K
php85-simplexml-8.5.0_alpha2-r0.apk
2025-07-16 04:02
24K
junit2html-pyc-31.0.2-r0.apk
2024-10-25 20:11
24K
pam-krb5-doc-4.11-r1.apk
2024-10-25 20:12
24K
py3-ffmpeg-0.2.0-r5.apk
2025-05-14 18:17
24K
lua5.1-xml-1.1.3-r2.apk
2024-10-25 20:11
24K
isomd5sum-1.2.5-r0.apk
2025-07-15 08:02
24K
makedumpfile-doc-1.7.7-r0.apk
2025-04-22 14:39
24K
ocaml-uuidm-dev-0.9.8-r2.apk
2024-10-25 20:12
24K
php85-snmp-8.5.0_alpha2-r0.apk
2025-07-16 04:02
24K
py3-svgpath-pyc-7.0-r0.apk
2025-07-08 06:16
24K
py3-discid-1.2.0-r6.apk
2024-10-25 20:12
24K
antimicrox-doc-3.5.1-r0.apk
2025-06-13 13:56
24K
py3-sphinxcontrib-programoutput-pyc-0.17-r5.apk
2024-10-25 20:12
24K
perl-data-checks-0.10-r1.apk
2025-07-01 19:19
24K
malcontent-dev-0.12.0-r0.apk
2025-05-08 12:15
24K
py3-aiosasl-pyc-0.5.0-r4.apk
2024-10-25 20:12
24K
newsyslog-doc-1.2.0.91-r1.apk
2024-10-25 20:11
24K
py3-rst2ansi-pyc-0.1.5-r0.apk
2024-10-25 20:12
24K
brltty-static-6.7-r1.apk
2025-03-29 15:58
24K
cliquer-tests-1.22-r2.apk
2024-10-25 20:11
24K
mame-doc-0.251-r0.apk
2024-10-25 20:11
24K
mbrola-3.3-r0.apk
2024-10-25 20:11
24K
nfcd-dev-1.2.2-r0.apk
2025-05-08 12:15
24K
php85-common-8.5.0_alpha2-r0.apk
2025-07-16 04:02
24K
ntpd-rs-doc-1.6.1-r0.apk
2025-07-16 11:23
24K
py3-twiggy-0.5.1-r4.apk
2024-10-25 20:12
24K
php81-pecl-mailparse-3.1.8-r0.apk
2024-10-25 20:12
24K
knxd-dev-0.14.61-r1.apk
2024-12-14 19:46
24K
py3-hatch-openzim-bootstrap-0.1.0-r0.apk
2024-10-25 20:12
24K
perl-catalystx-simplelogin-doc-0.21-r0.apk
2025-05-07 08:26
24K
vidcutter-doc-6.0.5.3-r0.apk
2024-10-25 20:13
24K
gupnp-dlna-dev-0.12.0-r0.apk
2024-12-01 12:58
24K
xed-python-3.8.2-r0.apk
2025-02-05 22:20
24K
perl-dns-unbound-0.29-r2.apk
2025-07-01 19:19
24K
git-revise-0.7.0-r5.apk
2024-10-25 20:11
24K
libgrapheme-2.0.2-r0.apk
2025-07-22 20:52
24K
epr-pyc-2.4.15-r1.apk
2024-10-25 20:11
24K
libm4rie-dev-20200125-r5.apk
2025-01-15 18:23
24K
py3-remind-0.19.2-r0.apk
2025-04-23 21:27
24K
py3-spin-pyc-0.8-r0.apk
2024-10-25 20:12
24K
font-siji-20190218_git-r2.apk
2024-10-25 20:11
24K
ocaml-mirage-profile-0.9.1-r3.apk
2024-10-25 20:12
24K
py3-hatch-openzim-0.2.0-r0.apk
2024-10-25 20:12
25K
py3-pytest-subprocess-pyc-1.5.2-r0.apk
2024-10-25 20:12
25K
py3-mopidy-jellyfin-1.0.4-r4.apk
2024-10-25 20:12
25K
py3-librtmp-pyc-0.3.0-r6.apk
2024-10-25 20:12
25K
php81-ftp-8.1.33-r0.apk
2025-07-02 22:19
25K
perl-mojo-redis-doc-3.29-r0.apk
2024-10-25 20:12
25K
py3-iniparse-pyc-0.5-r7.apk
2024-10-25 20:12
25K
php81-simplexml-8.1.33-r0.apk
2025-07-02 22:19
25K
py3-wtf-peewee-pyc-3.0.6-r0.apk
2024-10-25 20:12
25K
perl-email-sender-2.601-r0.apk
2025-04-04 08:55
25K
py3-urlobject-pyc-2.4.3-r9.apk
2024-10-25 20:12
25K
gnome-metronome-lang-1.3.0-r0.apk
2024-10-25 20:11
25K
3proxy-doc-0.9.4-r2.apk
2025-04-04 05:40
25K
py3-qt.py-pyc-1.3.10-r1.apk
2024-10-25 20:12
25K
perl-moosex-getopt-doc-0.78-r0.apk
2025-03-16 04:04
25K
py3-dominate-2.9.1-r1.apk
2024-10-25 20:12
25K
py3-mopidy-tidal-0.3.2-r6.apk
2024-10-25 20:12
25K
numbat-doc-1.9.0-r0.apk
2024-10-25 20:11
25K
py3-modbus-tk-1.1.1-r4.apk
2024-10-25 20:12
25K
freealut-dev-1.1.0-r1.apk
2024-10-25 20:11
25K
smplxmpp-doc-0.9.3-r4.apk
2024-12-18 12:06
25K
py3-queuelib-pyc-1.7.0-r0.apk
2024-10-25 20:12
25K
vfd-configurations-0_git20230612-r0.apk
2024-10-25 20:13
25K
pnmixer-lang-0.7.2-r3.apk
2024-10-25 20:12
25K
pdfcrack-0.20-r0.apk
2024-10-25 20:12
25K
wol-0.7.1-r3.apk
2024-10-25 20:13
25K
ruby-ruby-progressbar-1.13.0-r0.apk
2025-07-06 18:48
25K
hilbish-doc-2.3.4-r6.apk
2025-07-10 02:27
25K
snapper-doc-0.12.2-r0.apk
2025-05-06 12:27
25K
py3-json5-0.9.25-r0.apk
2024-11-30 21:09
25K
libglib-testing-doc-0.1.1-r0.apk
2025-05-08 12:15
25K
php81-dba-8.1.33-r0.apk
2025-07-02 22:19
25K
olsrd-doc-0.9.8-r3.apk
2024-10-25 20:12
25K
cellbroadcastd-libs-0.0.2-r0.apk
2025-06-27 13:27
25K
tnef-1.4.18-r0.apk
2024-10-25 20:12
25K
smile-lang-2.10.1-r0.apk
2025-04-11 18:13
25K
perl-catalyst-plugin-session-doc-0.43-r0.apk
2025-04-04 11:57
25K
php81-common-8.1.33-r0.apk
2025-07-02 22:19
25K
perl-mojo-redis-3.29-r0.apk
2024-10-25 20:12
25K
lshell-doc-0.9.18-r11.apk
2024-10-25 20:11
25K
opkg-utils-0.7.0-r0.apk
2024-10-25 20:12
25K
thunarx-python-doc-0.5.2-r2.apk
2024-10-25 20:12
25K
py3-pockethernet-pyc-0.7.0-r4.apk
2024-10-25 20:12
25K
parcellite-doc-1.2.5-r0.apk
2024-10-25 20:12
25K
lrcalc-libs-2.1-r1.apk
2024-10-25 20:11
25K
p0f-doc-3.09b-r3.apk
2024-10-25 20:12
25K
dmarc-metrics-exporter-1.2.0-r0.apk
2024-11-29 22:02
25K
ocaml-pbkdf-dev-1.2.0-r2.apk
2024-10-25 20:12
25K
ip2location-8.6.1-r0.apk
2024-10-25 20:11
25K
perl-catalyst-action-rest-1.21-r0.apk
2025-04-01 05:45
25K
nwg-displays-0.3.25-r0.apk
2025-07-21 20:38
25K
php81-odbc-8.1.33-r0.apk
2025-07-02 22:19
25K
ocaml-trie-dev-1.0.0-r2.apk
2024-10-25 20:12
25K
py3-nmap-pyc-0.7.1-r4.apk
2024-10-25 20:12
25K
py3-pysrt-1.1.2-r5.apk
2025-05-14 18:17
25K
py3-truststore-pyc-0.10.1-r0.apk
2025-02-10 06:06
26K
mcjoin-2.11-r0.apk
2024-10-25 20:11
26K
hopalong-0.1-r3.apk
2024-10-25 20:11
26K
perl-promise-me-0.5.0-r0.apk
2024-10-25 20:12
26K
planarity-4.0.0.0-r0.apk
2025-03-16 10:06
26K
ssh-tools-1.8-r0.apk
2024-10-25 20:12
26K
py3-manuel-pyc-1.13.0-r0.apk
2024-11-30 20:14
26K
py3-libacl-0.7.0-r2.apk
2024-10-25 20:12
26K
perl-number-tolerant-doc-1.710-r0.apk
2024-10-25 20:12
26K
thunar-gtkhash-plugin-1.5-r0.apk
2024-10-25 20:12
26K
perl-promise-xs-0.20-r2.apk
2025-07-01 19:19
26K
khronos-lang-4.0.1-r0.apk
2024-10-25 20:11
26K
py3-snapshottest-pyc-0.6.0-r5.apk
2024-10-25 20:12
26K
py3-flask-mailman-pyc-1.1.1-r0.apk
2024-10-25 20:12
26K
lomiri-location-service-lang-3.3.0-r4.apk
2025-06-26 14:59
26K
wl-kbptr-0.4.0-r0.apk
2025-07-20 14:23
26K
nemo-gtkhash-plugin-1.5-r0.apk
2024-10-25 20:11
26K
ruby-ansi-1.5.0-r0.apk
2025-07-06 18:48
26K
py3-asif-pyc-0.3.2-r3.apk
2024-10-25 20:12
26K
lomiri-url-dispatcher-lang-0.1.4-r0.apk
2025-05-09 17:35
26K
php85-sqlite3-8.5.0_alpha2-r0.apk
2025-07-16 04:02
26K
py3-unoconv-0.9.0-r4.apk
2025-05-12 21:41
26K
perl-conf-libconfig-1.0.3-r2.apk
2025-07-01 19:19
26K
fungw-python3-1.2.1-r0.apk
2024-12-30 09:48
26K
py3-pyroma-pyc-4.2-r0.apk
2024-10-25 20:12
26K
postgresql-pg_variables-1.2.5_git20230922-r0.apk
2024-10-25 20:12
26K
py3-milc-1.9.1-r0.apk
2025-01-25 16:04
26K
php85-ftp-8.5.0_alpha2-r0.apk
2025-07-16 04:02
26K
perl-ryu-4.001-r0.apk
2024-10-25 20:12
26K
dvisvgm-doc-3.4.4-r0.apk
2025-05-18 22:44
26K
deviced-dev-0_git20250427-r0.apk
2025-07-05 20:03
26K
cliquer-libs-1.22-r2.apk
2024-10-25 20:11
26K
php85-pdo_pgsql-8.5.0_alpha2-r0.apk
2025-07-16 04:02
26K
py3-rtree-1.4.0-r2.apk
2025-05-31 10:52
26K
mirrorhall-0.1.1-r1.apk
2025-01-14 16:36
26K
php85-xml-8.5.0_alpha2-r0.apk
2025-07-16 04:02
26K
perl-rxperl-6.29.8-r0.apk
2024-10-25 20:12
26K
py3-sqlmodel-0.0.22-r1.apk
2024-12-06 23:03
26K
py3-poetry-dynamic-versioning-pyc-1.9.0-r0.apk
2025-07-05 22:56
26K
dehydrated-0.7.1-r0.apk
2024-10-25 20:11
26K
sfwbar-doc-1.0_beta16-r1.apk
2024-12-12 19:02
26K
zarchive-libs-0.1.2-r2.apk
2024-10-25 20:13
26K
py3-dunamai-1.24.1-r0.apk
2025-07-01 19:19
27K
perl-module-cpants-analyse-1.02-r0.apk
2025-07-12 13:43
27K
py3-soapy_power-pyc-1.6.1-r5.apk
2024-10-25 20:12
27K
sipgrep-2.2.0-r1.apk
2024-10-25 20:12
27K
ocaml-duration-0.2.0-r2.apk
2024-10-25 20:12
27K
py3-flask-limiter-3.10.1-r0.apk
2025-01-19 17:13
27K
charls-dev-2.4.2-r0.apk
2024-10-25 20:11
27K
curlftpfs-0.9.2-r3.apk
2024-10-25 20:11
27K
libtsm-4.1.0-r0.apk
2025-07-08 05:58
27K
php81-sodium-8.1.33-r0.apk
2025-07-02 22:19
27K
py3-feedgenerator-pyc-2.1.0-r2.apk
2024-10-25 20:12
27K
perl-clipboard-doc-0.32-r0.apk
2025-05-18 15:45
27K
debconf-doc-1.5.82-r0.apk
2024-10-25 20:11
27K
nvim-web-devicons-0.100_git20241011-r0.apk
2024-11-11 03:58
27K
libcyaml-static-1.4.2-r0.apk
2024-10-25 20:11
27K
nm-tray-lang-0.5.0-r0.apk
2024-10-25 20:11
27K
tre-0.8.0-r2.apk
2024-10-25 20:12
27K
py3-mbedtls-pyc-2.10.1-r3.apk
2025-05-29 12:07
27K
php81-pecl-ssh2-1.4.1-r0.apk
2024-10-25 20:12
27K
perl-catalyst-action-rest-doc-1.21-r0.apk
2025-04-01 05:45
27K
arc-dark-gnome-20221218-r0.apk
2024-10-25 20:10
27K
gtkwave-doc-3.3.120-r0.apk
2024-10-25 20:11
27K
vcsh-doc-2.0.5-r0.apk
2024-10-25 20:13
27K
sregex-dev-0.0.1-r1.apk
2024-10-25 20:12
27K
lomiri-indicator-location-lang-25.4.22-r0.apk
2025-05-19 14:30
27K
e16-doc-1.0.30-r0.apk
2024-11-05 13:22
27K
admesh-0.98.5-r0.apk
2024-10-25 20:10
27K
py3-evohome-client-pyc-0.3.9-r0.apk
2025-07-13 21:33
27K
contractor-0.3.5-r0.apk
2024-11-12 21:54
27K
femto-doc-2.21.7-r0.apk
2025-06-19 06:37
27K
py3-dataclasses-json-0.6.7-r0.apk
2024-10-25 20:12
27K
perl-gearman-2.004.015-r3.apk
2024-10-25 20:12
27K
py3-ecos-2.0.11-r4.apk
2024-10-25 20:12
27K
py3-furl-2.1.4-r0.apk
2025-07-13 21:52
28K
elementary-dock-lang-8.0.2-r0.apk
2025-05-24 22:58
28K
perl-sql-abstract-more-1.44-r0.apk
2025-07-14 05:59
28K
ruby-hoe-4.2.2-r0.apk
2025-07-06 18:48
28K
py3-timeago-pyc-1.0.16-r0.apk
2024-10-25 20:12
28K
lomiri-trust-store-lang-2.0.2-r10.apk
2025-06-28 18:16
28K
py3-bidict-0.23.1-r1.apk
2024-10-25 20:12
28K
py3-proxmoxer-pyc-2.2.0-r0.apk
2024-12-16 11:36
28K
php85-dba-8.5.0_alpha2-r0.apk
2025-07-16 04:02
28K
caja-gtkhash-plugin-1.5-r0.apk
2024-10-25 20:11
28K
py3-mopidy-local-3.3.0-r0.apk
2025-01-03 14:34
28K
dcnnt-0.10.0-r1.apk
2024-10-25 20:11
28K
raku-if-0.1.3-r0.apk
2025-06-07 07:44
28K
perl-nice-try-1.3.17-r0.apk
2025-07-19 17:52
28K
py3-numpy-stl-pyc-3.2.0-r0.apk
2024-12-01 02:04
28K
py3-libmdbx-0.10.2-r7.apk
2024-10-25 20:12
28K
droidcam-gui-2.1.3-r1.apk
2024-11-08 21:25
28K
ttfautohint-1.8.4-r0.apk
2024-10-25 20:13
28K
merlin-vim-4.14-r0.apk
2024-10-25 20:11
28K
ostui-doc-1.0.3-r2.apk
2025-05-12 07:42
28K
ecos-dev-2.0.10-r0.apk
2024-10-25 20:11
28K
simpleble-dev-0.10.3-r0.apk
2025-07-11 02:16
28K
randrctl-1.10.0-r0.apk
2024-11-18 18:00
28K
libmysofa-1.3.2-r0.apk
2024-10-25 20:11
28K
octoprint-filecheck-2024.11.12-r0.apk
2025-07-12 16:03
28K
tre-static-0.8.0-r2.apk
2024-10-25 20:12
28K
trigger-rally-doc-0.6.7-r3.apk
2025-03-25 15:24
28K
geoclue-stumbler-0.2.0-r0.apk
2024-12-31 12:56
28K
mimeo-2023-r2.apk
2024-10-25 20:11
28K
py3-wsgiprox-pyc-1.5.2-r1.apk
2024-10-25 20:12
28K
py3-helper-pyc-2.5.0-r5.apk
2024-10-25 20:12
28K
php81-zip-8.1.33-r0.apk
2025-07-02 22:19
28K
gcli-doc-2.6.1-r0.apk
2025-01-26 17:29
28K
libwbxml-doc-0.11.8-r0.apk
2024-10-25 20:11
28K
perl-module-cpants-analyse-doc-1.02-r0.apk
2025-07-12 13:43
28K
perl-devel-repl-1.003029-r0.apk
2025-04-24 14:50
28K
perl-mojolicious-plugin-openapi-5.11-r0.apk
2025-03-19 11:46
28K
throttled-pyc-0.10.0-r1.apk
2024-12-15 19:26
28K
ocaml-ca-certs-0.2.2-r2.apk
2024-10-25 20:11
28K
gpscorrelate-cli-2.3-r0.apk
2025-03-27 06:36
28K
mkdocs-bootstrap-1.1.1-r2.apk
2024-10-25 20:11
29K
merlin-emacs-4.14-r0.apk
2024-10-25 20:11
29K
gmic-bash-completion-3.5.5-r0.apk
2025-07-15 08:17
29K
perl-ffi-c-doc-0.15-r0.apk
2024-10-25 20:12
29K
php83-pecl-eio-3.1.4-r0.apk
2025-07-20 15:26
29K
tayga-0.9.5-r0.apk
2025-06-20 07:58
29K
arc-gnome-20221218-r0.apk
2024-10-25 20:10
29K
perl-web-machine-doc-0.17-r0.apk
2025-06-13 18:17
29K
musikcube-plugin-supereqdsp-3.0.4-r1.apk
2025-01-26 19:50
29K
py3-bidict-pyc-0.23.1-r1.apk
2024-10-25 20:12
29K
lxqt-wayland-session-doc-0.2.0-r0.apk
2025-05-24 22:17
29K
py3-rospkg-1.2.9-r5.apk
2024-10-25 20:12
29K
dwl-0.7-r0.apk
2024-10-25 20:11
29K
nlopt-octave-2.10.0-r1.apk
2025-06-04 13:53
29K
py3-tokenizers-pyc-0.21.2-r0.apk
2025-07-01 19:19
29K
ktx-dev-4.3.2-r1.apk
2025-06-10 02:52
29K
pounce-3.1-r3.apk
2024-10-25 20:12
29K
emacs-powerline-2.4_git20221110-r0.apk
2024-10-25 20:11
29K
py3-zipfile2-pyc-0.0.12-r0.apk
2024-10-25 20:12
29K
perl-xml-bare-0.53-r14.apk
2025-07-01 19:19
29K
php85-sodium-8.5.0_alpha2-r0.apk
2025-07-16 04:02
29K
php85-odbc-8.5.0_alpha2-r0.apk
2025-07-16 04:02
29K
ocaml-lwt_ssl-1.2.0-r0.apk
2024-10-25 20:12
29K
bump2version-pyc-1.0.1-r6.apk
2024-10-25 20:11
29K
py3-altgraph-pyc-0.17.4-r1.apk
2024-10-25 20:12
29K
rvlprog-0.91-r2.apk
2024-10-25 20:12
29K
ocaml-hex-1.5.0-r2.apk
2024-10-25 20:12
29K
perl-database-async-doc-0.019-r0.apk
2024-10-25 20:12
29K
materia-dark-gnome-shell-20210322-r3.apk
2025-07-10 16:38
29K
materia-gnome-shell-20210322-r3.apk
2025-07-10 16:38
29K
openconnect-sso-0.8.0_git20230822-r0.apk
2025-05-14 09:13
29K
jdupes-1.28.0-r0.apk
2024-10-25 20:11
29K
fpp-0.9.5-r0.apk
2024-10-25 20:11
29K
openconnect-sso-pyc-0.8.0_git20230822-r0.apk
2025-05-14 09:13
29K
materia-dark-compact-gnome-shell-20210322-r3.apk
2025-07-10 16:38
29K
materia-compact-gnome-shell-20210322-r3.apk
2025-07-10 16:38
29K
octoprint-firmwarecheck-2021.10.11-r2.apk
2024-10-25 20:12
29K
py3-aiodocker-0.21.0-r1.apk
2024-10-25 20:12
29K
libxml++-dev-5.4.0-r0.apk
2025-02-13 07:47
29K
py3-json5-pyc-0.9.25-r0.apk
2024-11-30 21:09
29K
py3-pymata-pyc-2.20-r4.apk
2024-10-25 20:12
29K
materia-dark-compact-gtk4-20210322-r3.apk
2025-07-10 16:38
29K
materia-dark-gtk4-20210322-r3.apk
2025-07-10 16:38
29K
libguestfs-dev-1.56.1-r0.apk
2025-07-22 22:05
29K
php85-bcmath-8.5.0_alpha2-r0.apk
2025-07-16 04:02
29K
lua5.1-lcurses-9.0.0-r0.apk
2024-10-25 20:11
29K
perl-algorithm-backoff-doc-0.010-r0.apk
2024-10-25 20:12
29K
libnxml-dev-0.18.3-r0.apk
2024-10-25 20:11
29K
py3-liblarch-3.2.0-r6.apk
2024-12-08 21:39
30K
perl-sql-abstract-classic-1.91-r1.apk
2024-10-25 20:12
30K
fakeroot-tcp-1.32.1-r1.apk
2024-10-25 20:11
30K
qoiconv-0.0.0_git20230312-r0.apk
2024-10-25 20:12
30K
py3-gtkspellcheck-pyc-5.0.3-r0.apk
2024-12-07 20:32
30K
lomiri-download-manager-lang-0.2.1-r0.apk
2025-04-13 23:14
30K
py3-aiosasl-0.5.0-r4.apk
2024-10-25 20:12
30K
materia-light-kde-kvantum-20220823-r0.apk
2024-10-25 20:11
30K
py3-spotipy-2.24.0-r2.apk
2025-05-14 18:17
30K
blip-doc-0.10-r0.apk
2024-10-25 20:11
30K
lxappearance-0.6.3-r3.apk
2024-10-25 20:11
30K
ocaml-mirage-clock-4.2.0-r2.apk
2024-10-25 20:12
30K
slidge-matridge-0.1.0-r0.apk
2024-10-25 20:12
30K
it87-src-1_p20240609-r0.apk
2024-10-25 20:11
30K
jbigkit-dev-2.1-r2.apk
2024-10-25 20:11
30K
rtptools-1.22-r2.apk
2024-10-25 20:12
30K
cliquer-static-1.22-r2.apk
2024-10-25 20:11
30K
dnscrypt-wrapper-0.4.2-r3.apk
2024-10-25 20:11
30K
lomiri-location-service-dev-3.3.0-r4.apk
2025-06-26 14:59
30K
py3-sphinx-theme-epfl-1.1.1-r9.apk
2024-10-25 20:12
30K
py3-livestream-pyc-2.1.0-r0.apk
2024-11-25 22:22
30K
py3-pyte-0.8.2-r3.apk
2025-05-12 21:41
30K
php82-pecl-runkit7-4.0.0_alpha6-r1.apk
2024-10-25 20:12
30K
materia-kde-kvantum-20220823-r0.apk
2024-10-25 20:11
30K
libcork-dev-0.15.0-r7.apk
2024-10-25 20:11
30K
materia-dark-kde-kvantum-20220823-r0.apk
2024-10-25 20:11
30K
py3-pytest-regtest-pyc-2.3.2-r1.apk
2025-02-17 09:34
30K
startup-bridge-udev-2.0.3-r5.apk
2024-10-25 20:12
30K
lomiri-indicator-location-25.4.22-r0.apk
2025-05-19 14:30
30K
py3-spake2-0.9-r0.apk
2024-10-25 20:12
30K
libaudec-0.3.4-r3.apk
2024-10-25 20:11
30K
fatback-1.3-r2.apk
2024-10-25 20:11
30K
crossplane-0.5.8-r3.apk
2024-10-25 20:11
30K
startup-bridge-dconf-2.0.3-r5.apk
2024-10-25 20:12
30K
ffms2-doc-5.0-r0.apk
2024-10-25 20:11
30K
perl-statistics-descriptive-3.0801-r0.apk
2024-10-25 20:12
30K
par-doc-1.53.0-r1.apk
2024-10-25 20:12
30K
py3-libnacl-pyc-2.1.0-r1.apk
2024-10-25 20:12
30K
curtail-1.13.0-r0.apk
2025-07-05 20:40
30K
py3-gevent-websocket-pyc-0.10.1-r8.apk
2024-10-25 20:12
30K
libaudec-tools-0.3.4-r3.apk
2024-10-25 20:11
30K
ldapdomaindump-pyc-0.9.4-r2.apk
2025-05-29 12:07
30K
libibmad-1.3.13-r2.apk
2024-10-25 20:11
30K
php81-exif-8.1.33-r0.apk
2025-07-02 22:19
30K
a2jmidid-9-r3.apk
2024-10-25 20:10
31K
nvim-lualine-doc-0.0.0_git20241101-r0.apk
2024-11-13 23:09
31K
gsettings-qt-1.0.0-r0.apk
2025-03-14 06:19
31K
php85-exif-8.5.0_alpha2-r0.apk
2025-07-16 04:02
31K
soapy-hackrf-0.3.4-r2.apk
2024-10-25 20:12
31K
tang-dbg-15-r0.apk
2025-01-20 04:17
31K
ocp-index-doc-1.3.6-r0.apk
2024-10-25 20:12
31K
libsigrok-dev-0.5.2-r3.apk
2024-10-25 20:11
31K
py3-rich-click-1.7.3-r1.apk
2024-10-25 20:12
31K
perl-barcode-zbar-0.10-r4.apk
2025-07-01 19:19
31K
py3-pymata4-pyc-1.15-r4.apk
2024-10-25 20:12
31K
octoprint-pisupport-2023.10.10-r1.apk
2024-10-25 20:12
31K
rdrview-0.1.3-r0.apk
2025-02-22 19:31
31K
unit-php81-1.34.2-r1.apk
2025-04-24 00:44
31K
unit-php85-1.34.2-r0.apk
2025-07-02 18:51
31K
perl-time-moment-doc-0.44-r1.apk
2025-07-01 19:19
31K
tabby-3.1-r1.apk
2024-10-25 20:12
31K
py3-telemetrix-pyc-1.20-r3.apk
2024-10-25 20:12
31K
libbamf-doc-0.5.6-r1.apk
2024-10-25 20:11
31K
perl-file-mmagic-xs-0.09008-r5.apk
2025-07-01 19:19
31K
randrctl-pyc-1.10.0-r0.apk
2024-11-18 18:00
31K
ccrtp-doc-2.1.2-r0.apk
2024-10-25 20:11
31K
plplot-5.15.0-r2.apk
2024-10-25 20:12
31K
libfort-0.4.2-r0.apk
2024-10-25 20:11
31K
bmk-0.1-r0.apk
2025-06-21 07:06
31K
php81-pecl-luasandbox-4.1.2-r0.apk
2024-10-25 20:12
31K
lizardfs-cgi-3.13.0-r17.apk
2025-06-19 08:56
31K
createrepo_c-dev-1.1.4-r0.apk
2024-10-25 20:11
31K
perl-git-repository-doc-1.325-r0.apk
2024-10-25 20:12
32K
ocaml-ezxmlm-1.1.0-r0.apk
2024-10-25 20:12
32K
py3-radon-6.0.1-r2.apk
2024-10-25 20:12
32K
py3-pymeta3-pyc-0.5.1-r6.apk
2024-10-25 20:12
32K
php83-pecl-zmq-1.1.4-r0.apk
2024-10-25 20:12
32K
ocaml-mirage-profile-dev-0.9.1-r3.apk
2024-10-25 20:12
32K
libm4ri-dev-20240729-r2.apk
2025-01-15 18:23
32K
py3-pymaging-pyc-0.0.20130908-r10.apk
2024-10-25 20:12
32K
qperf-0.4.11-r2.apk
2025-05-12 21:41
32K
mnamer-2.5.5-r1.apk
2024-10-25 20:11
32K
jedi-language-server-0.45.1-r0.apk
2025-04-28 22:57
32K
kdiskmark-lang-3.2.0-r0.apk
2025-07-01 19:19
32K
zita-njbridge-0.4.8-r1.apk
2024-10-25 20:13
32K
perl-astro-0.78-r0.apk
2025-07-21 08:40
32K
rtmidi-6.0.0-r0.apk
2024-10-25 20:12
32K
libgrapheme-dev-2.0.2-r0.apk
2025-07-22 20:52
32K
primecount-7.17-r0.apk
2025-05-16 14:32
32K
bgpq4-1.15-r0.apk
2024-10-25 20:11
32K
py3-nptyping-pyc-2.5.0-r3.apk
2024-10-25 20:12
32K
libsymmetrica-dev-3.0.1-r2.apk
2024-10-25 20:11
32K
lomiri-api-dev-0.2.2-r1.apk
2025-02-17 09:34
32K
jhead-3.08-r0.apk
2024-10-25 20:11
32K
py3-c3d-0.5.2-r1.apk
2024-10-25 20:12
32K
cellbroadcastd-dev-0.0.2-r0.apk
2025-06-27 13:27
32K
libcli-1.10.7-r0.apk
2024-10-25 20:11
32K
tcl-curl-7.22.0-r0.apk
2024-10-25 20:12
32K
perl-catalyst-plugin-authentication-0.10024-r0.apk
2025-03-29 15:58
32K
py3-pysonic-pyc-1.0.3-r0.apk
2025-04-16 22:35
32K
py3-yapsy-1.12.2-r7.apk
2024-10-25 20:12
32K
cproto-4.7x-r0.apk
2025-07-21 08:40
32K
handlebars-dev-1.0.0-r1.apk
2024-10-25 20:11
32K
linuxptp-nsm-4.4-r0.apk
2024-11-18 18:00
32K
btfs-2.24-r12.apk
2024-10-25 20:11
32K
perl-expect-1.38-r0.apk
2025-04-19 19:01
32K
py3-lunr-0.6.2-r4.apk
2024-10-25 20:12
32K
py3-furl-pyc-2.1.4-r0.apk
2025-07-13 21:52
32K
php85-pecl-igbinary-3.2.16-r0.apk
2025-07-02 18:59
32K
py3-senf-pyc-1.5.0-r0.apk
2025-06-27 02:59
33K
py3-django-suit-pyc-0.2.28-r8.apk
2024-10-25 20:12
33K
py3-euclid3-pyc-0.01-r8.apk
2024-10-25 20:12
33K
perl-css-object-doc-0.2.0-r0.apk
2024-10-25 20:12
33K
py3-ffmpeg-pyc-0.2.0-r5.apk
2025-05-14 18:17
33K
dfu-programmer-1.1.0-r0.apk
2024-10-25 20:11
33K
tree-sitter-hare-0_git20230616-r2.apk
2025-07-22 20:24
33K
py3-qt.py-1.3.10-r1.apk
2024-10-25 20:12
33K
paprefs-1.2-r2.apk
2024-11-22 22:04
33K
perl-opentracing-doc-1.006-r0.apk
2024-10-25 20:12
33K
py3-libmdbx-pyc-0.10.2-r7.apk
2024-10-25 20:12
33K
wl-kbptr-full-0.4.0-r0.apk
2025-07-20 14:23
33K
hddfancontrol-1.6.2-r0.apk
2024-10-25 20:11
33K
pptpclient-1.10.0-r6.apk
2025-07-01 19:19
33K
php81-pecl-igbinary-3.2.16-r0.apk
2024-10-25 20:12
33K
libaudec-static-0.3.4-r3.apk
2024-10-25 20:11
33K
cluster-glue-doc-1.0.12-r5.apk
2024-10-25 20:11
33K
refine-lang-0.5.10-r0.apk
2025-07-02 13:41
33K
perl-mastodon-client-doc-0.017-r0.apk
2024-10-25 20:12
33K
swappy-1.5.1-r0.apk
2024-10-25 20:12
33K
php85-zip-8.5.0_alpha2-r0.apk
2025-07-16 04:02
33K
libmrss-dev-0.19.2-r1.apk
2024-10-25 20:11
33K
i3status-rust-doc-0.34.0-r0.apk
2025-07-19 05:23
33K
urlwatch-doc-2.28-r2.apk
2024-10-25 20:13
33K
py3-hishel-0.1.3-r0.apk
2025-07-08 23:15
33K
obnc-doc-0.17.2-r0.apk
2025-05-25 23:37
33K
refine-0.5.10-r0.apk
2025-07-02 13:41
33K
perl-mojolicious-plugin-openapi-doc-5.11-r0.apk
2025-03-19 11:46
33K
py3-pltable-pyc-1.1.0-r1.apk
2024-11-13 06:38
33K
mm-common-doc-1.0.7-r0.apk
2025-06-27 16:39
33K
py3-limits-3.14.1-r0.apk
2024-12-25 16:22
33K
py3-sphinxcontrib-cartouche-pyc-1.1.2-r7.apk
2024-10-25 20:12
34K
perl-lingua-stem-doc-2.31-r0.apk
2025-06-08 16:33
34K
py3-pathvalidate-pyc-3.3.1-r0.apk
2025-07-12 15:21
34K
libqtdbustest-0.3.3-r1.apk
2025-02-17 09:34
34K
py3-xapp-2.4.2-r0.apk
2024-11-12 11:29
34K
php81-ldap-8.1.33-r0.apk
2025-07-02 22:19
34K
py3-unicorn-2.0.1-r4.apk
2024-10-25 20:12
34K
py3-dominate-pyc-2.9.1-r1.apk
2024-10-25 20:12
34K
tiptop-2.3.1-r2.apk
2024-10-25 20:12
34K
lomiri-calculator-app-lang-4.0.2-r1.apk
2025-03-13 06:06
34K
perl-json-validator-doc-5.15-r0.apk
2025-03-19 11:46
34K
oblibs-0.3.4.0-r0.apk
2025-06-01 16:07
34K
jack_capture-0.9.73_git20210429-r2.apk
2024-10-25 20:11
34K
py3-sphinxcontrib-httpdomain-pyc-1.8.1-r3.apk
2024-10-25 20:12
34K
aufs-util-doc-20161219-r3.apk
2024-10-25 20:10
34K
trantor-dev-1.5.18-r0.apk
2024-10-25 20:12
34K
py3-trivup-0.12.2-r2.apk
2024-10-25 20:12
34K
hddfancontrol-pyc-1.6.2-r0.apk
2024-10-25 20:11
34K
py3-mopidy-local-pyc-3.3.0-r0.apk
2025-01-03 14:34
34K
elementary-camera-lang-8.0.1-r0.apk
2025-05-13 06:41
34K
lavacli-doc-2.4-r0.apk
2025-06-15 04:25
34K
dfl-sni-0.2.0-r0.apk
2024-10-25 20:11
34K
py3-piccata-pyc-2.0.3-r1.apk
2024-10-25 20:12
34K
foolsm-1.0.21-r0.apk
2024-10-25 20:11
34K
aravis-dev-0.8.31-r0.apk
2024-10-25 20:10
34K
caffeine-ng-lang-4.2.0-r1.apk
2024-10-25 20:11
34K
bcg729-1.1.1-r0.apk
2024-10-25 20:10
34K
musikcube-plugin-openmpt-3.0.4-r1.apk
2025-01-26 19:50
34K
materia-dark-gtk2-20210322-r3.apk
2025-07-10 16:38
34K
materia-dark-compact-gtk2-20210322-r3.apk
2025-07-10 16:38
35K
materia-gtk2-20210322-r3.apk
2025-07-10 16:38
35K
sblim-sfcc-doc-2.2.8-r3.apk
2024-10-25 20:12
35K
materia-compact-gtk2-20210322-r3.apk
2025-07-10 16:38
35K
jalv-gtk-1.6.8-r1.apk
2024-10-25 20:11
35K
raku-tinyfloats-0.0.5-r0.apk
2025-06-07 07:44
35K
ocaml-mirage-clock-dev-4.2.0-r2.apk
2024-10-25 20:12
35K
usbmuxd-1.1.1-r9.apk
2025-04-18 14:39
35K
pimd-doc-3.0_git20220201-r0.apk
2024-10-25 20:12
35K
py3-pysonic-1.0.3-r0.apk
2025-04-16 22:35
35K
py3-librtmp-0.3.0-r6.apk
2024-10-25 20:12
35K
py3-ovos-config-pyc-2.1.1-r0.apk
2025-07-15 18:37
35K
nwg-displays-pyc-0.3.25-r0.apk
2025-07-21 20:38
35K
vcstool-0.3.0-r5.apk
2024-10-25 20:13
35K
mint-x-theme-xfwm4-2.1.1-r0.apk
2024-10-25 20:11
35K
dnsperf-doc-2.14.0-r0.apk
2024-10-25 20:11
35K
linuxptp-pmc-4.4-r0.apk
2024-11-18 18:00
35K
py3-latex2mathml-pyc-3.77.0-r1.apk
2024-10-25 20:12
35K
ruff-lsp-pyc-0.0.62-r0.apk
2025-03-06 22:29
35K
php81-pecl-oauth-2.0.9-r0.apk
2024-10-25 20:12
35K
py3-bitstruct-8.19.0-r1.apk
2024-10-25 20:12
35K
py3-pymaging-png-0.0.20130727-r10.apk
2024-10-25 20:12
35K
py3-pyautogui-0.9.53-r5.apk
2024-10-25 20:12
35K
srain-lang-1.8.1-r0.apk
2025-06-26 18:42
35K
perl-math-int64-0.57-r2.apk
2025-07-01 19:19
35K
php81-imap-8.1.33-r0.apk
2025-07-02 22:19
35K
php82-pecl-oauth-2.0.9-r0.apk
2024-10-25 20:12
35K
ocaml-rresult-dev-0.7.0-r2.apk
2024-10-25 20:12
35K
php83-pecl-oauth-2.0.9-r0.apk
2024-10-25 20:12
35K
php84-pecl-oauth-2.0.9-r0.apk
2024-10-25 20:12
35K
luapak-0.1.0_beta5-r0.apk
2024-10-25 20:11
35K
lshell-pyc-0.9.18-r11.apk
2024-10-25 20:11
35K
py3-cookiecutter-2.6.0-r1.apk
2024-10-25 20:12
35K
mat2-0.13.4-r3.apk
2024-10-25 20:11
35K
perl-ryu-doc-4.001-r0.apk
2024-10-25 20:12
35K
autoremove-torrents-1.5.5-r0.apk
2024-10-25 20:10
35K
py3-pillow_heif-pyc-0.18.0-r0.apk
2024-10-25 20:12
36K
razercfg-pyc-0.42-r7.apk
2024-10-25 20:12
36K
py3-mopidy-tidal-pyc-0.3.2-r6.apk
2024-10-25 20:12
36K
dfl-applications-0.2.0-r0.apk
2024-10-25 20:11
36K
py3-dataclasses-json-pyc-0.6.7-r0.apk
2024-10-25 20:12
36K
php85-ldap-8.5.0_alpha2-r0.apk
2025-07-16 04:02
36K
litterbox-1.9-r1.apk
2024-10-25 20:11
36K
ovos-audio-pyc-1.0.1-r0.apk
2025-07-15 20:03
36K
perl-protocol-database-postgresql-doc-2.001-r0.apk
2024-10-25 20:12
36K
ocaml-gmap-0.3.0-r2.apk
2024-10-25 20:12
36K
py3-pysubs2-1.8.0-r0.apk
2024-12-25 22:09
36K
py3-aesedb-0.1.6-r3.apk
2025-05-29 12:07
36K
crazydiskinfo-1.1.0-r1.apk
2024-10-25 20:11
36K
py3-mando-pyc-0.7.1-r3.apk
2024-10-25 20:12
36K
lomiri-api-0.2.2-r1.apk
2025-02-17 09:34
36K
gmcapsule-0.9.7-r0.apk
2025-01-08 19:12
36K
py3-cstruct-pyc-5.3-r1.apk
2024-10-25 20:12
36K
cddlib-tools-0.94m-r2.apk
2024-10-25 20:11
36K
ansible-bender-0.10.1-r2.apk
2024-10-25 20:10
36K
burp-server-3.1.4-r0.apk
2024-10-25 20:11
36K
libcork-0.15.0-r7.apk
2024-10-25 20:11
36K
lshell-0.9.18-r11.apk
2024-10-25 20:11
36K
endless-sky-doc-0.10.2-r0.apk
2024-10-25 20:11
37K
libopensles-standalone-0_git20240221-r0.apk
2025-04-14 17:11
37K
py3-pyqrcode-1.2.1-r0.apk
2024-10-25 20:12
37K
reflex-doc-20241231-r0.apk
2025-06-21 07:06
37K
py3-mopidy-jellyfin-pyc-1.0.4-r4.apk
2024-10-25 20:12
37K
raku-json-name-0.0.7-r0.apk
2025-06-07 07:44
37K
php81-pecl-rdkafka-6.0.5-r0.apk
2024-11-04 12:52
37K
py3-redmine-2.5.0-r0.apk
2024-10-25 20:12
37K
emacs-epkg-3.3.3_git20240713-r0.apk
2024-10-25 20:11
37K
perl-test-unit-0.27-r0.apk
2024-10-25 20:12
37K
alttab-1.7.1-r0.apk
2024-10-25 20:10
37K
py3-qasync-0.19.0-r2.apk
2024-10-25 20:12
37K
libretro-freeintv-0_git20220319-r0.apk
2024-10-25 20:11
37K
libserialport-dev-0.1.1-r1.apk
2024-10-25 20:11
37K
py3-astral-3.2-r3.apk
2024-10-25 20:12
37K
py3-pygpgme-0.3.1-r9.apk
2024-10-25 20:12
37K
py3-rpio-0.10.1-r8.apk
2024-10-25 20:12
37K
kcbench-0.9.12-r0.apk
2025-04-25 06:18
37K
csol-1.6.0-r0.apk
2024-10-25 20:11
37K
capnet-assist-lang-8.0.0-r0.apk
2025-04-14 10:08
37K
perl-libapreq2-doc-2.17-r3.apk
2025-07-01 19:19
37K
zycore-dev-1.5.0-r1.apk
2025-07-11 04:50
37K
moon-buggy-1.0.51-r1.apk
2024-10-25 20:11
37K
raku-librarymake-1.0.5-r0.apk
2025-06-08 16:33
37K
php81-pecl-xmlrpc-1.0.0_rc3-r2.apk
2024-10-25 20:12
37K
py3-dexml-pyc-0.5.1-r9.apk
2024-10-25 20:12
37K
perl-statistics-descriptive-doc-3.0801-r0.apk
2024-10-25 20:12
38K
arc-gtk2-20221218-r0.apk
2024-10-25 20:10
38K
arc-lighter-gtk2-20221218-r0.apk
2024-10-25 20:10
38K
symbiyosys-0.36-r0.apk
2024-10-25 20:12
38K
tcl-curl-doc-7.22.0-r0.apk
2024-10-25 20:12
38K
py3-pure_protobuf-pyc-3.1.2-r0.apk
2024-10-25 20:12
38K
spreadtrum_flash-1.20240815-r0.apk
2025-05-08 12:15
38K
perl-path-dispatcher-doc-1.08-r0.apk
2025-06-09 12:05
38K
py3-sh-2.1.0-r0.apk
2024-11-01 15:14
38K
cocogitto-doc-6.3.0-r0.apk
2025-03-21 19:28
38K
gtk-session-lock-0.2.0-r0.apk
2025-01-31 16:16
38K
libzrtpcpp-dev-4.7.0-r0.apk
2025-01-04 21:55
38K
ovos-gui-1.3.3-r0.apk
2025-07-15 20:03
38K
opensm-doc-3.3.24-r2.apk
2024-10-25 20:12
38K
paprefs-lang-1.2-r2.apk
2024-11-22 22:04
38K
libmdf-1.0.29-r0.apk
2024-10-25 20:11
38K
slidge-matridge-pyc-0.1.0-r0.apk
2024-10-25 20:12
38K
apache2-mod-perl-dev-2.0.13-r2.apk
2025-07-01 19:19
38K
wbg-1.2.0-r1.apk
2025-05-14 18:17
38K
lyrics-in-terminal-1.7.0-r0.apk
2025-01-03 14:34
38K
logwatch-doc-7.10-r1.apk
2024-10-25 20:11
38K
perl-math-random-0.72-r1.apk
2025-07-01 19:19
38K
ocaml-rresult-0.7.0-r2.apk
2024-10-25 20:12
38K
linuxptp-ts2phc-4.4-r0.apk
2024-11-18 18:00
38K
php81-sockets-8.1.33-r0.apk
2025-07-02 22:19
38K
arc-dark-gtk2-20221218-r0.apk
2024-10-25 20:10
38K
ovos-gui-pyc-1.3.3-r0.apk
2025-07-15 20:03
38K
py3-fastdiff-0.3.0-r5.apk
2024-10-25 20:12
38K
linuxptp-doc-4.4-r0.apk
2024-11-18 18:00
38K
libsigrokdecode-dev-0.5.3-r4.apk
2024-10-25 20:11
38K
ecasound-doc-2.9.3-r4.apk
2025-02-24 21:15
38K
repo-doc-2.53-r0.apk
2025-03-20 03:18
38K
lomiri-url-dispatcher-0.1.4-r0.apk
2025-05-09 17:35
38K
arc-darker-gtk2-20221218-r0.apk
2024-10-25 20:10
39K
ocaml-happy-eyeballs-lwt-0.3.0-r3.apk
2024-10-25 20:12
39K
php81-curl-8.1.33-r0.apk
2025-07-02 22:19
39K
libctl-dev-4.5.1-r1.apk
2024-10-25 20:11
39K
spnavcfg-1.1-r0.apk
2024-10-25 20:12
39K
ghc-filesystem-1.5.14-r0.apk
2024-10-25 20:11
39K
nfoview-2.1-r0.apk
2025-04-13 10:30
39K
libbsoncxx-dev-3.8.0-r0.apk
2024-10-25 20:11
39K
py3-twiggy-pyc-0.5.1-r4.apk
2024-10-25 20:12
39K
plfit-libs-1.0.1-r0.apk
2025-01-04 03:47
39K
py3-python-iptables-1.0.1-r1.apk
2024-10-25 20:12
39K
perl-datetime-astro-1.04-r0.apk
2025-07-21 08:40
39K
py3-recurring-ical-events-3.8.0-r0.apk
2025-06-15 04:25
39K
log4cpp-dev-1.1.4-r1.apk
2024-10-25 20:11
39K
sturmreader-lang-3.7.2-r1.apk
2025-01-29 19:46
39K
sstp-client-1.0.20-r2.apk
2025-05-30 10:24
39K
musikcube-plugin-taglibreader-3.0.4-r1.apk
2025-01-26 19:50
39K
avra-1.4.2-r0.apk
2024-10-25 20:10
39K
theme.sh-1.1.5-r0.apk
2024-10-25 20:12
39K
linkchecker-doc-10.5.0-r0.apk
2025-05-18 23:10
39K
py3-manuel-1.13.0-r0.apk
2024-11-30 20:14
39K
py3-zope-configuration-5.0.1-r2.apk
2024-10-25 20:12
39K
linuxptp-phc2sys-4.4-r0.apk
2024-11-18 18:00
39K
xfce4-hamster-plugin-1.17-r0.apk
2024-10-25 20:13
39K
py3-pyte-pyc-0.8.2-r3.apk
2025-05-12 21:41
39K
supercollider-dev-3.13.0-r6.apk
2025-02-08 23:44
39K
prjtrellis-db-machxo-0_git20230929-r0.apk
2024-10-25 20:12
39K
php81-session-8.1.33-r0.apk
2025-07-02 22:19
39K
crossplane-pyc-0.5.8-r3.apk
2024-10-25 20:11
39K
turnstile-0.1.10-r3.apk
2024-10-25 20:13
39K
sndfile-tools-1.5-r1.apk
2024-10-25 20:12
39K
py3-empy-3.3.4-r7.apk
2024-10-25 20:12
39K
66-tools-doc-0.1.2.0-r0.apk
2025-06-01 16:07
39K
perl-net-curl-doc-0.57-r1.apk
2025-07-01 19:19
39K
darts-clone-0.32h-r0.apk
2025-07-06 07:09
39K
materia-dark-compact-gtk3-20210322-r3.apk
2025-07-10 16:38
40K
materia-dark-gtk3-20210322-r3.apk
2025-07-10 16:38
40K
py3-compdb-pyc-0.2.0-r8.apk
2024-10-25 20:12
40K
varnish-modules-0.26.0-r0.apk
2025-07-06 18:48
40K
py3-fpdf-1.7.2-r5.apk
2024-10-25 20:12
40K
pebble-le-dev-0.3.0-r2.apk
2024-12-14 19:46
40K
ocaml-ca-certs-dev-0.2.2-r2.apk
2024-10-25 20:11
40K
peg-0.1.18-r1.apk
2024-10-25 20:12
40K
ocaml-lwt-dllist-dev-1.0.1-r3.apk
2024-10-25 20:12
40K
libmpfi-1.5.4-r2.apk
2024-10-25 20:11
40K
raku-shell-command-1.1-r0.apk
2025-06-08 16:33
40K
py3-feedgen-1.0.0-r1.apk
2024-10-25 20:12
40K
py3-pyzor-1.0.0-r11.apk
2024-10-25 20:12
40K
py3-bibtexparser-1.4.3-r0.apk
2025-01-19 11:55
40K
perl-http-headers-actionpack-doc-0.09-r0.apk
2025-06-13 18:17
40K
py3-rich-click-pyc-1.7.3-r1.apk
2024-10-25 20:12
40K
perl-astro-coords-doc-0.22-r0.apk
2025-07-21 08:40
40K
py3-unearth-0.17.5-r0.apk
2025-04-11 18:13
40K
liberasurecode-1.6.3-r1.apk
2024-10-25 20:11
40K
py3-pyvcd-pyc-0.4.1-r0.apk
2024-11-11 21:46
40K
gmenuharness-0.1.4-r2.apk
2025-02-17 09:34
40K
py3-flask-restless-0.17.0-r9.apk
2024-10-25 20:12
40K
sflowtool-6.02-r0.apk
2024-10-25 20:12
41K
youtube-viewer-doc-3.11.6-r0.apk
2025-06-09 05:05
41K
py3-ward-0.67.0_beta0-r2.apk
2024-10-25 20:12
41K
watchdog-5.16-r2.apk
2024-10-25 20:13
41K
py3-irc-20.4.1-r0.apk
2024-10-25 20:12
41K
py3-pytaglib-3.0.0-r0.apk
2025-01-26 19:50
41K
perl-prereqscanner-notquitelite-0.9917-r0.apk
2025-07-12 13:43
41K
tree-sitter-make-0_git20211216-r2.apk
2024-10-25 20:12
41K
openfortivpn-1.22.1-r0.apk
2024-12-11 21:31
41K
py3-sqlmodel-pyc-0.0.22-r1.apk
2024-12-06 23:03
41K
yices2-dev-2.6.5-r0.apk
2025-03-02 23:05
41K
ecos-2.0.10-r0.apk
2024-10-25 20:11
41K
py3-milc-pyc-1.9.1-r0.apk
2025-01-25 16:04
42K
mimeo-pyc-2023-r2.apk
2024-10-25 20:11
42K
gupnp-av-dev-0.14.4-r0.apk
2025-06-28 23:47
42K
imediff-2.6-r1.apk
2024-10-25 20:11
42K
litehtml-dev-0.9-r2.apk
2025-02-17 09:34
42K
perl-www-noss-doc-1.05-r0.apk
2025-07-18 06:15
42K
php83-pecl-ev-1.2.1-r0.apk
2025-07-20 14:00
42K
php84-pecl-ev-1.2.1-r0.apk
2025-07-20 14:00
42K
php85-pecl-ev-1.2.1-r0.apk
2025-07-20 14:00
42K
gf2x-1.3.0-r1.apk
2024-10-25 20:11
42K
mergerfs-doc-2.40.2-r1.apk
2024-10-25 20:11
42K
perl-www-noss-1.05-r0.apk
2025-07-18 06:15
42K
azpainter-doc-3.0.11-r0.apk
2025-02-22 11:10
42K
kismet-nrf-51822-0.202307.1-r8.apk
2025-06-12 14:06
42K
hub-doc-2.14.2-r32.apk
2025-07-10 02:27
42K
php81-mysqli-8.1.33-r0.apk
2025-07-02 22:19
42K
perl-email-sender-doc-2.601-r0.apk
2025-04-04 08:55
42K
php81-pecl-immutable_cache-6.1.0-r0.apk
2024-10-25 20:12
42K
libqofono-dev-0.124-r0.apk
2025-01-10 12:38
42K
tree-sitter-gleam-1.0.0-r0.apk
2024-10-25 20:12
42K
ocaml-ethernet-3.0.0-r3.apk
2024-10-25 20:12
42K
py3-pyparted-pyc-3.13.0-r1.apk
2024-10-25 20:12
42K
git-revise-pyc-0.7.0-r5.apk
2024-10-25 20:11
42K
py3-seqdiag-pyc-3.0.0-r5.apk
2024-10-25 20:12
42K
ocaml-stringext-1.6.0-r2.apk
2024-10-25 20:12
42K
moosefs-metalogger-4.56.6-r2.apk
2025-06-19 08:56
42K
py3-openwisp-utils-pyc-1.0.4-r4.apk
2024-10-25 20:12
42K
php82-pecl-immutable_cache-6.1.0-r0.apk
2024-10-25 20:12
42K
cava-0.10.4-r1.apk
2025-02-17 22:17
42K
php85-session-8.5.0_alpha2-r0.apk
2025-07-16 04:02
42K
libfyaml-dev-0.9-r0.apk
2024-10-25 20:11
42K
py3-createrepo_c-1.1.4-r0.apk
2024-10-25 20:12
42K
nuklear-doc-4.12.0-r0.apk
2024-10-25 20:11
42K
py3-colander-pyc-2.0-r2.apk
2024-10-25 20:12
42K
perl-cgi-simple-doc-1.281-r0.apk
2025-03-12 14:01
43K
uxn-1.0-r0.apk
2024-10-25 20:13
43K
materia-compact-gtk4-20210322-r3.apk
2025-07-10 16:38
43K
rygel-dev-0.44.2-r0.apk
2025-03-19 12:55
43K
wine-staging-doc-10.9-r0.apk
2025-06-01 23:36
43K
py3-isbnlib-3.10.14-r0.apk
2025-01-19 11:55
43K
materia-gtk4-20210322-r3.apk
2025-07-10 16:38
43K
py3-sphinx-theme-cloud-pyc-1.10.0-r2.apk
2024-10-25 20:12
43K
flatseal-2.3.1-r0.apk
2025-06-19 15:30
43K
php81-pecl-memcache-8.2-r1.apk
2024-10-25 20:12
43K
sentinel-minipot-2.3.0-r1.apk
2024-10-25 20:12
43K
peervpn-0.044-r5.apk
2024-10-25 20:12
43K
py3-bookkeeper-4.17.2-r0.apk
2025-07-13 21:41
43K
perl-extutils-xsbuilder-0.28-r5.apk
2024-10-25 20:12
43K
psftools-dev-1.1.2-r0.apk
2024-10-25 20:12
43K
ocaml-mirage-kv-dev-4.0.1-r3.apk
2024-10-25 20:12
43K
py3-cdio-pyc-2.1.1-r6.apk
2025-01-20 20:43
43K
libtommath-1.2.1-r1.apk
2025-05-25 07:51
43K
lomiri-libusermetrics-lang-1.3.3-r1.apk
2025-02-17 09:34
43K
py3-coreapi-pyc-2.3.3-r9.apk
2024-10-25 20:12
43K
sigrok-cli-0.7.2-r0.apk
2024-10-25 20:12
43K
apulse-0.1.13-r2.apk
2024-10-25 20:10
43K
py3-dunamai-pyc-1.24.1-r0.apk
2025-07-01 19:19
43K
kismet-nxp-kw41z-0.202307.1-r8.apk
2025-06-12 14:06
43K
emacs-avy-0.5.0_git20230420-r0.apk
2024-10-25 20:11
43K
rtaudio-6.0.1-r0.apk
2025-04-17 23:03
44K
py3-spake2-pyc-0.9-r0.apk
2024-10-25 20:12
44K
rhasspy-nlu-0.4.0-r3.apk
2024-10-25 20:12
44K
perl-net-xmpp-doc-1.05-r0.apk
2024-10-25 20:12
44K
imediff-pyc-2.6-r1.apk
2024-10-25 20:11
44K
py3-pysimplesoap-1.16.2-r7.apk
2024-10-25 20:12
44K
php83-pecl-phpy-1.0.11-r1.apk
2025-05-12 21:41
44K
php84-pecl-phpy-1.0.11-r1.apk
2025-05-12 21:41
44K
granite7-dev-7.5.0-r0.apk
2024-10-25 20:11
44K
perl-app-a2p-1.013-r0.apk
2025-07-21 08:40
44K
sentinel-proxy-2.1.0-r1.apk
2025-06-13 14:22
44K
py3-sphinxcontrib-hydomain-pyc-0.1.0_git20230930-r1.apk
2024-10-25 20:12
44K
php81-pdo-8.1.33-r0.apk
2025-07-02 22:19
44K
bakelite-0.4.2-r0.apk
2024-10-25 20:10
44K
commoncpp-tools-7.0.1-r1.apk
2024-10-25 20:11
44K
imapfilter-2.8.2-r0.apk
2024-10-25 20:11
44K
ocaml-duration-dev-0.2.0-r2.apk
2024-10-25 20:12
44K
perl-xml-stream-1.24-r0.apk
2024-10-25 20:12
44K
nlopt-guile-2.10.0-r1.apk
2025-06-04 13:53
44K
extundelete-0.2.4-r1.apk
2024-10-25 20:11
44K
py3-shodan-1.31.0-r1.apk
2024-10-25 20:12
44K
ocaml-uuidm-0.9.8-r2.apk
2024-10-25 20:12
44K
gummiboot-48.1-r11.apk
2025-07-20 21:07
44K
py3-mistletoe-1.4.0-r0.apk
2025-03-19 11:46
45K
py3-aiowinreg-pyc-0.0.12-r1.apk
2025-05-29 12:07
45K
php85-sockets-8.5.0_alpha2-r0.apk
2025-07-16 04:02
45K
volumeicon-0.5.1-r1.apk
2024-10-25 20:13
45K
py3-gtkspellcheck-5.0.3-r0.apk
2024-12-07 20:32
45K
malcontent-doc-0.12.0-r0.apk
2025-05-08 12:15
45K
pantalaimon-0.10.5-r4.apk
2024-10-25 20:12
45K
py3-litex-hub-pythondata-cpu-minerva-2024.04-r0.apk
2024-10-25 20:12
45K
dooit-3.2.2-r0.apk
2025-05-16 07:20
45K
ocfs2-tools-dev-1.8.7-r4.apk
2024-10-25 20:12
45K
wput-0.6.2-r4.apk
2024-10-25 20:13
45K
php85-mysqli-8.5.0_alpha2-r0.apk
2025-07-16 04:02
45K
ettercap-doc-0.8.3.1-r3.apk
2024-10-25 20:11
45K
py3-zipfile2-0.0.12-r0.apk
2024-10-25 20:12
45K
capnet-assist-8.0.0-r0.apk
2025-04-14 10:08
45K
py3-zope-schema-7.0.1-r3.apk
2024-10-25 20:12
45K
py3-pyautogui-pyc-0.9.53-r5.apk
2024-10-25 20:12
45K
libreoffice-voikko-5.0_git20200127-r0.apk
2024-10-25 20:11
45K
kismet-linux-bluetooth-0.202307.1-r8.apk
2025-06-12 14:06
45K
adbd-0_git20250325-r2.apk
2025-07-15 17:27
46K
py3-pycosat-0.6.6-r2.apk
2024-10-25 20:12
46K
endeavour-dev-43.0-r2.apk
2024-12-08 21:39
46K
plfit-static-1.0.1-r0.apk
2025-01-04 03:47
46K
nvim-packer-0.0.0_git20220910-r1.apk
2024-10-25 20:11
46K
glfw-wayland-dev-3.3.8-r3.apk
2024-10-25 20:11
46K
ovos-skill-hello-world-0.0.4_alpha3-r1.apk
2024-10-25 20:12
46K
aravis-0.8.31-r0.apk
2024-10-25 20:10
46K
goomwwm-1.0.0-r5.apk
2024-10-25 20:11
46K
bananui-daemons-0.1.0-r0.apk
2024-10-25 20:10
46K
py3-ovos-backend-client-1.0.0-r0.apk
2024-10-25 20:12
46K
emacs-hydra-0.15.0_git20220910-r0.apk
2024-10-25 20:11
46K
spread-sheet-widget-0.10-r0.apk
2024-10-25 20:12
46K
py3-bandwidth-sdk-3.1.0-r8.apk
2024-10-25 20:12
46K
py3-mopidy-mpd-3.3.0-r4.apk
2024-10-25 20:12
46K
fungw-perl-1.2.1-r0.apk
2024-12-30 09:48
46K
pasystray-0.8.2-r0.apk
2024-10-25 20:12
46K
perl-tree-simple-visitorfactory-doc-0.16-r0.apk
2025-03-19 11:46
46K
fusesoc-2.3-r0.apk
2024-10-25 20:11
46K
ubase-20200605-r3.apk
2024-10-25 20:13
46K
raku-file-ignore-1.2-r0.apk
2025-06-08 16:33
46K
dmarc-metrics-exporter-pyc-1.2.0-r0.apk
2024-11-29 22:02
46K
clinfo-3.0.23.01.25-r0.apk
2024-10-25 20:11
46K
elementary-feedback-lang-8.0.1-r0.apk
2025-05-13 06:41
46K
waynergy-0.0.17-r0.apk
2024-10-25 20:13
46K
normaliz-3.10.4-r2.apk
2025-06-13 05:13
47K
gtkhash-lang-1.5-r0.apk
2024-10-25 20:11
47K
lomiri-content-hub-lang-2.1.0-r0.apk
2025-04-13 22:06
47K
acmetool-doc-0.2.2-r14.apk
2025-07-10 02:26
47K
raku-digest-sha1-native-0.06-r0.apk
2025-06-08 16:33
47K
cvs-fast-export-1.65-r0.apk
2024-10-25 20:11
47K
py3-gls-1.3.1-r1.apk
2024-10-25 20:12
47K
elementary-feedback-8.0.1-r0.apk
2025-05-13 06:41
47K
ocaml-lwt_ssl-dev-1.2.0-r0.apk
2024-10-25 20:12
47K
php85-pdo-8.5.0_alpha2-r0.apk
2025-07-16 04:02
47K
ocaml-tsdl-image-0.6-r0.apk
2024-10-25 20:12
47K
php85-curl-8.5.0_alpha2-r0.apk
2025-07-16 04:02
47K
py3-ovos-ocp-files-plugin-0.13.1-r0.apk
2024-10-25 20:12
47K
py3-flask-limiter-pyc-3.10.1-r0.apk
2025-01-19 17:13
47K
sblg-0.5.11-r0.apk
2024-10-25 20:12
47K
ocaml-bigstringaf-0.9.0-r2.apk
2024-10-25 20:11
47K
py3-rosdistro-0.9.0-r3.apk
2024-10-25 20:12
47K
openwsman-2.8.1-r1.apk
2025-07-01 19:19
47K
py3-yapsy-pyc-1.12.2-r7.apk
2024-10-25 20:12
47K
gssdp-1.6.4-r0.apk
2025-06-28 23:47
47K
dislocker-libs-0.7.3-r6.apk
2025-07-19 22:46
47K
py3-markdown2-2.5.0-r0.apk
2024-10-25 20:12
47K
py3-ovos-config-2.1.1-r0.apk
2025-07-15 18:37
47K
py3-soappy-0.52.30-r0.apk
2024-12-02 22:53
47K
py3-pyqrcode-pyc-1.2.1-r0.apk
2024-10-25 20:12
47K
perl-time-moment-0.44-r1.apk
2025-07-01 19:19
47K
py3-distorm3-3.5.2-r6.apk
2024-10-25 20:12
47K
solanum-lang-6.0.0-r0.apk
2025-04-11 18:13
47K
i2util-dev-4.2.1-r1.apk
2024-10-25 20:11
47K
ocaml-mtime-dev-1.4.0-r2.apk
2024-10-25 20:12
47K
py3-hypercorn-0.17.3-r0.apk
2025-07-23 06:26
47K
py3-rtree-pyc-1.4.0-r2.apk
2025-05-31 10:52
47K
elementary-music-lang-8.0.0-r0.apk
2024-10-28 22:06
47K
py3-cookiecutter-pyc-2.6.0-r1.apk
2024-10-25 20:12
47K
py3-marshmallow-3.26.1-r0.apk
2025-02-21 22:40
48K
startup-doc-2.0.3-r5.apk
2024-10-25 20:12
48K
polyglot-doc-2.0.4-r1.apk
2024-10-25 20:12
48K
otf-atkinson-hyperlegible-doc-2020.0514-r1.apk
2024-10-25 20:12
48K
perl-dbix-class-helpers-2.037000-r0.apk
2024-11-18 18:00
48K
libbsoncxx-3.8.0-r0.apk
2024-10-25 20:11
48K
raku-json-class-0.0.21-r0.apk
2025-06-07 07:44
48K
ocaml-uuseg-dev-14.0.0-r2.apk
2024-10-25 20:12
48K
sopwith-2.5.0-r0.apk
2024-10-25 20:12
48K
levmar-dev-2.6-r0.apk
2024-10-25 20:11
48K
perl-test-unit-doc-0.27-r0.apk
2024-10-25 20:12
48K
perl-astro-telescope-0.71-r0.apk
2025-07-21 08:40
48K
perl-net-jabber-doc-2.0-r0.apk
2024-10-25 20:12
48K
raku-datetime-parse-0.9.3-r0.apk
2025-06-07 07:44
48K
meli-doc-0.8.11-r0.apk
2025-05-04 14:16
48K
py3-modbus-tk-pyc-1.1.1-r4.apk
2024-10-25 20:12
48K
py3-zope-configuration-pyc-5.0.1-r2.apk
2024-10-25 20:12
49K
coxeter-3.0-r1.apk
2024-10-25 20:11
49K
ocaml-merlin-extend-0.6.1-r2.apk
2024-10-25 20:12
49K
ace-of-penguins-doc-1.4-r3.apk
2024-10-25 20:10
49K
php81-pgsql-8.1.33-r0.apk
2025-07-02 22:19
49K
py3-sssd-pyc-2.11.0-r0.apk
2025-06-06 09:11
49K
py3-distorm3-pyc-3.5.2-r6.apk
2024-10-25 20:12
49K
urlwatch-2.28-r2.apk
2024-10-25 20:13
49K
parcellite-lang-1.2.5-r0.apk
2024-10-25 20:12
49K
py3-bibtexparser-pyc-1.4.3-r0.apk
2025-01-19 11:55
49K
perl-minion-doc-10.31-r0.apk
2024-10-25 20:12
49K
perl-prereqscanner-notquitelite-doc-0.9917-r0.apk
2025-07-12 13:43
49K
ocaml-mtime-1.4.0-r2.apk
2024-10-25 20:12
49K
metadata-cleaner-2.5.6-r0.apk
2025-01-31 14:31
49K
identme-0.6.0-r0.apk
2025-04-03 12:33
49K
lynis-doc-3.1.1-r0.apk
2024-10-25 20:11
49K
spiritvnc-0.6.5-r0.apk
2024-11-03 05:09
49K
lavacli-2.4-r0.apk
2025-06-15 04:25
49K
php84-pecl-uv-0.3.0-r0.apk
2024-10-28 12:47
49K
php83-pecl-uv-0.3.0-r0.apk
2024-10-25 20:12
49K
py3-spotipy-pyc-2.24.0-r2.apk
2025-05-14 18:17
50K
php85-doc-8.5.0_alpha2-r0.apk
2025-07-16 04:02
50K
py3-radon-pyc-6.0.1-r2.apk
2024-10-25 20:12
50K
py3-liblarch-pyc-3.2.0-r6.apk
2024-12-08 21:39
50K
somebar-1.0.3-r0.apk
2024-10-25 20:12
50K
wiimms-szs-tools-doc-2.26a-r0.apk
2024-10-25 20:13
50K
swaks-doc-20240103.0-r0.apk
2024-10-25 20:12
50K
createrepo_c-1.1.4-r0.apk
2024-10-25 20:11
50K
jedi-language-server-pyc-0.45.1-r0.apk
2025-04-28 22:57
50K
perl-statistics-basic-doc-1.6611-r0.apk
2024-10-25 20:12
50K
py3-recurring-ical-events-pyc-3.8.0-r0.apk
2025-06-15 04:25
50K
ocamlnet-tcl-4.1.9-r2.apk
2024-10-25 20:12
50K
opentelemetry-cpp-exporter-otlp-common-1.22.0-r0.apk
2025-07-16 11:25
50K
py3-requests-cache-1.2.1-r1.apk
2024-11-18 18:00
50K
bionic_translation-0_git20250324-r0.apk
2025-04-14 17:11
50K
py3-ovos-bus-client-1.3.4-r0.apk
2025-04-25 06:18
50K
py3-tidalapi-0.8.4-r0.apk
2025-07-12 16:03
50K
ytmdl-2024.08.15.1-r1.apk
2025-05-14 18:17
50K
dublin-traceroute-0.4.2-r4.apk
2024-10-25 20:11
50K
s-postgray-0.8.3-r0.apk
2024-10-25 20:12
50K
gupnp-dev-1.6.9-r0.apk
2025-06-28 23:47
50K
milkytracker-doc-1.04.00-r2.apk
2024-10-25 20:11
50K
perl-software-license-doc-0.104007-r0.apk
2025-07-08 16:53
50K
castero-0.9.5-r4.apk
2025-05-14 18:17
50K
opentelemetry-cpp-exporter-zipkin-1.22.0-r0.apk
2025-07-16 11:25
50K
perl-devel-nytprof-doc-6.14-r1.apk
2025-07-01 19:19
51K
py3-mss-10.0.0-r0.apk
2024-11-14 13:12
51K
py3-lunr-pyc-0.6.2-r4.apk
2024-10-25 20:12
51K
perl-net-jabber-2.0-r0.apk
2024-10-25 20:12
51K
py3-lxmf-0.7.1-r0.apk
2025-05-27 21:32
51K
ccze-0.2.1-r1.apk
2024-10-25 20:11
51K
chawan-doc-0.2.2-r0.apk
2025-07-23 00:55
51K
php81-pecl-amqp-2.1.2-r0.apk
2024-10-25 20:12
51K
persistent-cache-cpp-1.0.7-r4.apk
2025-02-17 09:34
51K
php81-pecl-event-3.1.4-r0.apk
2024-10-25 20:12
51K
perl-data-dump-streamer-2.42-r1.apk
2025-07-01 19:19
51K
libzn_poly-0.9.2-r2.apk
2024-10-25 20:11
51K
soapy-bladerf-0.4.2-r0.apk
2024-12-31 01:11
51K
primesieve-12.8-r0.apk
2025-04-29 21:49
51K
ovos-core-1.3.1-r0.apk
2025-05-26 12:10
51K
py3-aiohttp-debugtoolbar-pyc-0.6.1-r2.apk
2024-10-25 20:12
51K
lcalc-dev-2.1.0-r0.apk
2025-05-03 08:30
51K
qadwaitadecorations-0.1.6-r0.apk
2025-05-08 12:15
51K
py3-tasklib-pyc-2.5.1-r2.apk
2024-10-25 20:12
52K
gkrellm-server-2.3.11-r0.apk
2025-01-08 22:36
52K
py3-zimscraperlib-3.4.0-r0.apk
2024-11-06 09:41
52K
lomiri-ui-extras-lang-0.7.0-r0.apk
2025-04-11 18:13
52K
py3-pillow_heif-0.18.0-r0.apk
2024-10-25 20:12
52K
py3-dnslib-0.9.25-r0.apk
2024-10-25 20:12
52K
ocaml-ezxmlm-dev-1.1.0-r0.apk
2024-10-25 20:12
52K
py3-pymaging-png-pyc-0.0.20130727-r10.apk
2024-10-25 20:12
52K
ocaml-iso8601-0.2.6-r0.apk
2024-10-25 20:12
52K
granite7-lang-7.5.0-r0.apk
2024-10-25 20:11
52K
megatools-doc-1.11.4.20250411-r0.apk
2025-05-03 17:33
52K
jalv-1.6.8-r1.apk
2024-10-25 20:11
52K
bitlbee-mastodon-1.4.5-r0.apk
2024-10-25 20:11
52K
postgresql-pg_variables-bitcode-1.2.5_git20230922-r0.apk
2024-10-25 20:12
52K
py3-pypubsub-4.0.3-r0.apk
2024-10-25 20:12
52K
xone-src-0.3.1-r0.apk
2025-07-10 15:51
52K
py3-pyatem-0.5.0-r4.apk
2024-10-25 20:12
53K
py3-dogpile.cache-1.3.3-r1.apk
2025-05-14 18:17
53K
tremc-0.9.4-r0.apk
2025-07-16 04:10
53K
perl-astro-coords-0.22-r0.apk
2025-07-21 08:40
53K
ccrtp-dev-2.1.2-r0.apk
2024-10-25 20:11
53K
gingerbase-lang-2.3.0-r7.apk
2024-10-25 20:11
53K
66-tools-0.1.2.0-r0.apk
2025-06-01 16:07
53K
libstirshaken-0_git20240208-r4.apk
2025-04-28 19:56
53K
fplll-5.5.0-r0.apk
2024-11-18 18:00
53K
recoll-dev-1.37.5-r1.apk
2024-10-25 20:12
53K
timew-doc-1.4.3-r1.apk
2024-10-25 20:12
53K
py3-wstools-0.4.10-r7.apk
2024-10-25 20:12
53K
policycoreutils-3.6-r1.apk
2024-10-25 20:12
53K
libiio-0.25-r2.apk
2024-10-25 20:11
53K
ocaml-hex-dev-1.5.0-r2.apk
2024-10-25 20:12
53K
ocaml-ptmap-2.0.5-r3.apk
2024-10-25 20:12
53K
libzn_poly-static-0.9.2-r2.apk
2024-10-25 20:11
53K
perl-alien-base-modulebuild-doc-1.17-r0.apk
2025-06-08 16:33
53K
perl-astro-montenbruck-1.26-r0.apk
2025-07-21 08:40
53K
gpscorrelate-2.3-r0.apk
2025-03-27 06:36
53K
xfce4-panel-profiles-lang-1.1.1-r0.apk
2025-07-06 09:16
53K
clevis-21-r0.apk
2025-01-20 04:17
54K
pixiewps-1.4.2-r2.apk
2025-05-12 21:41
54K
py3-redmine-pyc-2.5.0-r0.apk
2024-10-25 20:12
54K
fiery-lang-2.0.0-r0.apk
2024-10-25 20:11
54K
py3-pyzor-pyc-1.0.0-r11.apk
2024-10-25 20:12
54K
py3-c3d-pyc-0.5.2-r1.apk
2024-10-25 20:12
54K
mcjoin-doc-2.11-r0.apk
2024-10-25 20:11
54K
freediameter-dev-1.5.0-r1.apk
2024-10-25 20:11
54K
autoremove-torrents-pyc-1.5.5-r0.apk
2024-10-25 20:10
54K
mat2-pyc-0.13.4-r3.apk
2024-10-25 20:11
54K
belle-sip-dev-5.3.105-r0.apk
2025-02-25 12:51
54K
ustr-1.0.4-r1.apk
2024-10-25 20:13
54K
perl-catalyst-devel-1.42-r0.apk
2025-03-20 16:04
54K
libupstart-2.0.3-r5.apk
2024-10-25 20:11
54K
py3-rospkg-pyc-1.2.9-r5.apk
2024-10-25 20:12
54K
epoch-1.3.0-r2.apk
2024-10-25 20:11
54K
metalang99-1.13.3-r0.apk
2024-10-25 20:11
54K
ocaml-uutf-dev-1.0.3-r2.apk
2024-10-25 20:12
54K
libantlr3c-3.4-r3.apk
2024-10-25 20:11
55K
fabric-3.2.2-r1.apk
2024-10-25 20:11
55K
krdp-lang-6.4.2-r0.apk
2025-07-05 19:00
55K
sblim-sfcc-2.2.8-r3.apk
2024-10-25 20:12
55K
py3-joserfc-1.2.2-r0.apk
2025-07-14 06:55
55K
nvim-cmp-0.0.0_git20221011-r1.apk
2024-10-25 20:11
55K
py3-sh-pyc-2.1.0-r0.apk
2024-11-01 15:14
55K
kodaskanna-0.2.2-r0.apk
2025-01-20 08:16
55K
py3-trivup-pyc-0.12.2-r2.apk
2024-10-25 20:12
55K
elfio-dev-3.12-r0.apk
2024-10-25 20:11
55K
emacs-centaur-tabs-3.2_git20230601-r0.apk
2024-10-25 20:11
55K
libnfc-1.8.0-r1.apk
2024-10-25 20:11
55K
backup-manager-0.7.15-r1.apk
2024-10-25 20:10
55K
bananui-2.0.0-r0.apk
2024-10-25 20:10
55K
gdcm-doc-3.0.24-r1.apk
2025-07-20 10:48
55K
libmpfi-static-1.5.4-r2.apk
2024-10-25 20:11
55K
perl-cgi-simple-1.281-r0.apk
2025-03-12 14:01
56K
khronos-4.0.1-r0.apk
2024-10-25 20:11
56K
shine-3.1.1-r0.apk
2024-10-25 20:12
56K
openwsman-dev-2.8.1-r1.apk
2025-07-01 19:19
56K
libucl-0.9.0-r0.apk
2024-10-25 20:11
56K
perl-catalyst-plugin-authentication-doc-0.10024-r0.apk
2025-03-29 15:58
56K
py3-pyspinel-1.0.3-r1.apk
2024-10-25 20:12
56K
shntool-3.0.10-r5.apk
2025-02-24 21:15
56K
perl-libapreq2-dev-2.17-r3.apk
2025-07-01 19:19
56K
py3-qpageview-doc-0.6.2-r1.apk
2024-10-25 20:12
56K
pympress-lang-1.8.5-r1.apk
2024-10-25 20:12
56K
py3-scour-0.38.2-r1.apk
2024-10-25 20:12
56K
php81-pecl-apcu-5.1.24-r0.apk
2024-10-25 20:12
56K
php85-pecl-apcu-5.1.24-r0.apk
2025-07-02 18:59
57K
php81-pecl-zephir_parser-1.7.0-r0.apk
2024-11-24 17:25
57K
coxeter-dev-3.0-r1.apk
2024-10-25 20:11
57K
php82-pecl-zephir_parser-1.7.0-r0.apk
2024-11-24 17:25
57K
pimd-dense-2.1.0-r0.apk
2024-10-25 20:12
57K
py3-unicorn-pyc-2.0.1-r4.apk
2024-10-25 20:12
57K
lua5.2-lanes-3.16.0-r1.apk
2024-10-25 20:11
57K
liquibase-doc-4.9.1-r0.apk
2024-10-25 20:11
57K
lua5.1-lanes-3.16.0-r1.apk
2024-10-25 20:11
57K
femto-2.21.7-r0.apk
2025-06-19 06:37
57K
libfishsound-dev-1.0.0-r1.apk
2024-10-25 20:11
57K
git-extras-7.4.0-r0.apk
2025-07-22 20:51
57K
py3-catkin-pkg-0.5.2-r4.apk
2024-10-25 20:12
57K
tinygltf-dev-2.9.6-r0.apk
2025-06-08 16:35
57K
clevis-dbg-21-r0.apk
2025-01-20 04:17
57K
elementary-calculator-lang-8.0.0-r0.apk
2024-10-28 22:05
57K
lua5.4-lanes-3.16.0-r1.apk
2024-10-25 20:11
57K
drupal7-doc-7.103-r0.apk
2024-12-04 17:27
57K
kfc-0.1.4-r0.apk
2024-10-25 20:11
58K
apt-dater-1.0.4-r4.apk
2024-10-25 20:10
58K
cellbroadcastd-0.0.2-r0.apk
2025-06-27 13:27
58K
xfce4-panel-profiles-1.1.1-r0.apk
2025-07-06 09:16
58K
lua5.3-lanes-3.16.0-r1.apk
2024-10-25 20:11
58K
perl-net-xmpp-1.05-r0.apk
2024-10-25 20:12
58K
opentelemetry-cpp-exporter-otlp-grpc-1.22.0-r0.apk
2025-07-16 11:25
58K
vcstool-pyc-0.3.0-r5.apk
2024-10-25 20:13
58K
mint-y-theme-metacity-2.1.1-r0.apk
2024-10-25 20:11
58K
libqd-dev-2.3.24-r0.apk
2024-10-25 20:11
58K
py3-litex-hub-pythondata-misc-tapcfg-2024.04-r0.apk
2024-10-25 20:12
58K
rkdeveloptool-1.1.0-r1.apk
2024-10-25 20:12
58K
apache-mod-auth-gssapi-1.6.5-r1.apk
2024-10-25 20:10
58K
libnfc-tools-1.8.0-r1.apk
2024-10-25 20:11
58K
font-tiresias-doc-0_git20200704-r0.apk
2024-10-25 20:11
58K
perl-json-validator-5.15-r0.apk
2025-03-19 11:46
58K
sbase-doc-0_git20210730-r3.apk
2024-10-25 20:12
58K
libantlr3c-dev-3.4-r3.apk
2024-10-25 20:11
58K
perl-net-async-redis-6.006-r0.apk
2024-12-11 16:22
58K
py3-empy-pyc-3.3.4-r7.apk
2024-10-25 20:12
58K
mpdcron-dev-0.3-r1.apk
2024-10-25 20:11
59K
anari-sdk-dev-0.7.2-r0.apk
2024-10-25 20:10
59K
ocaml-happy-eyeballs-lwt-dev-0.3.0-r3.apk
2024-10-25 20:12
59K
xfce4-mixer-lang-4.18.1-r2.apk
2024-10-25 20:13
59K
py3-astral-pyc-3.2-r3.apk
2024-10-25 20:12
59K
decoder-lang-0.7.0-r0.apk
2025-04-11 18:13
59K
plplot-dev-5.15.0-r2.apk
2024-10-25 20:12
59K
py3-unicrypto-0.0.10-r3.apk
2025-05-29 12:07
59K
py3-flask-restless-pyc-0.17.0-r9.apk
2024-10-25 20:12
59K
py3-sssd-2.11.0-r0.apk
2025-06-06 09:11
59K
sloccount-doc-2.26-r3.apk
2024-10-25 20:12
59K
sloccount-2.26-r3.apk
2024-10-25 20:12
59K
nvim-lualine-0.0.0_git20241101-r0.apk
2024-11-13 23:09
60K
psftools-doc-1.1.2-r0.apk
2024-10-25 20:12
60K
fdm-materials-5.2.2-r1.apk
2024-10-25 20:11
60K
perl-astro-montenbruck-doc-1.26-r0.apk
2025-07-21 08:40
60K
cvise-pyc-2.11.0-r0.apk
2025-03-08 13:29
60K
ocaml-easy-format-1.3.4-r1.apk
2024-10-25 20:12
60K
libiscsi-1.19.0-r2.apk
2024-10-25 20:11
60K
fabric-pyc-3.2.2-r1.apk
2024-10-25 20:11
60K
perl-devel-repl-doc-1.003029-r0.apk
2025-04-24 14:50
60K
openscap-daemon-0.1.10-r9.apk
2024-10-25 20:12
60K
php85-pgsql-8.5.0_alpha2-r0.apk
2025-07-16 04:02
60K
notification-daemon-3.20.0-r1.apk
2025-07-01 22:12
60K
reflex-20241231-r0.apk
2025-06-21 07:06
60K
py3-aiodocker-pyc-0.21.0-r1.apk
2024-10-25 20:12
60K
mnamer-pyc-2.5.5-r1.apk
2024-10-25 20:11
60K
gmcapsule-pyc-0.9.7-r0.apk
2025-01-08 19:12
61K
wlroots0.12-dev-0.12.0-r1.apk
2024-10-25 20:13
61K
zydis-dev-4.1.0-r0.apk
2024-10-25 20:13
61K
ttfautohint-gui-1.8.4-r0.apk
2024-10-25 20:13
61K
s-dkim-sign-0.6.2-r0.apk
2024-10-25 20:12
61K
py3-zope-schema-pyc-7.0.1-r3.apk
2024-10-25 20:12
61K
libabigail-doc-2.3-r0.apk
2024-10-25 20:11
61K
guish-doc-2.6.11-r0.apk
2024-12-24 10:42
61K
kabmat-2.7.0-r0.apk
2024-10-25 20:11
61K
gingerbase-pyc-2.3.0-r7.apk
2024-10-25 20:11
61K
php81-pecl-ds-1.6.0-r0.apk
2025-05-07 13:16
62K
dcnnt-pyc-0.10.0-r1.apk
2024-10-25 20:11
62K
py3-feedgen-pyc-1.0.0-r1.apk
2024-10-25 20:12
62K
font-tamzen-1.11.5-r1.apk
2024-10-25 20:11
62K
sthttpd-2.27.1-r2.apk
2024-10-25 20:12
62K
emacs-gnosis-0.3.2-r0.apk
2024-10-25 20:11
62K
sshuttle-1.1.2-r0.apk
2024-10-25 20:12
62K
perl-net-curl-0.57-r1.apk
2025-07-01 19:19
62K
vdr-plugin-dvbapi-2.2.5-r0.apk
2024-10-25 20:13
62K
libvdpau-va-gl-0.4.2-r0.apk
2024-10-25 20:11
62K
ocaml-tsdl-ttf-0.6-r0.apk
2024-10-25 20:12
62K
py3-colander-2.0-r2.apk
2024-10-25 20:12
62K
libxo-doc-1.7.5-r0.apk
2025-01-12 22:45
63K
jbigkit-2.1-r2.apk
2024-10-25 20:11
63K
tinyscheme-1.42-r1.apk
2024-10-25 20:12
63K
materia-compact-gtk3-20210322-r3.apk
2025-07-10 16:38
63K
materia-gtk3-20210322-r3.apk
2025-07-10 16:38
63K
py3-pyspinel-pyc-1.0.3-r1.apk
2024-10-25 20:12
63K
portsmf-239-r1.apk
2024-10-25 20:12
63K
py3-mapbox-earcut-1.0.1-r2.apk
2024-10-25 20:12
63K
glfw-wayland-3.3.8-r3.apk
2024-10-25 20:11
63K
py3-minidump-0.0.24-r1.apk
2025-05-29 12:07
64K
ovos-core-pyc-1.3.1-r0.apk
2025-05-26 12:10
64K
masky-pyc-0.2.0-r2.apk
2025-05-29 12:07
64K
rtaudio-dev-6.0.1-r0.apk
2025-04-17 23:03
64K
perl-glib-object-introspection-0.051-r2.apk
2025-07-01 19:19
64K
9base-doc-6-r2.apk
2024-10-25 20:10
64K
ueberzug-pyc-18.3.1-r0.apk
2025-02-22 11:09
64K
megatools-1.11.4.20250411-r0.apk
2025-05-03 17:33
64K
gf2x-dev-1.3.0-r1.apk
2024-10-25 20:11
64K
rtl-power-fftw-20200601-r4.apk
2024-10-25 20:12
64K
pulsar-client-cpp-dev-3.7.1-r0.apk
2025-06-12 14:06
64K
onioncat-4.11.0-r1.apk
2024-10-25 20:12
64K
kismet-linux-wifi-0.202307.1-r8.apk
2025-06-12 14:06
65K
gufw-pyc-24.04-r3.apk
2024-11-19 21:42
65K
ueberzug-18.3.1-r0.apk
2025-02-22 11:09
65K
apache2-mod-perl-dbg-2.0.13-r2.apk
2025-07-01 19:19
65K
py3-sphinx-theme-bw-0.1.8-r7.apk
2024-10-25 20:12
65K
avarice-2.14-r4.apk
2024-10-25 20:10
65K
ansible-bender-pyc-0.10.1-r2.apk
2024-10-25 20:10
65K
xsecurelock-1.9.0-r1.apk
2024-10-25 20:13
65K
git-extras-doc-7.4.0-r0.apk
2025-07-22 20:51
65K
wiimms-iso-tools-doc-3.04a-r1.apk
2024-10-25 20:13
65K
ocaml-jsonm-dev-1.0.2-r0.apk
2024-10-25 20:12
65K
networkmanager-openconnect-1.2.10-r1.apk
2025-04-07 22:00
65K
daemontools-0.76-r3.apk
2024-10-25 20:11
66K
php81-dom-8.1.33-r0.apk
2025-07-02 22:19
66K
polyglot-2.0.4-r1.apk
2024-10-25 20:12
66K
nvtop-3.2.0-r0.apk
2025-04-29 23:39
66K
htmlcxx-0.87-r1.apk
2024-10-25 20:11
66K
perl-gtk2-ex-widgetbits-48-r3.apk
2024-10-25 20:12
66K
lomiri-terminal-app-2.0.5-r0.apk
2025-05-09 17:35
66K
perl-net-async-redis-doc-6.006-r0.apk
2024-12-11 16:22
66K
metadata-cleaner-lang-2.5.6-r0.apk
2025-01-31 14:31
66K
pebble-le-0.3.0-r2.apk
2024-12-14 19:46
66K
swaks-20240103.0-r0.apk
2024-10-25 20:12
66K
rosdep-0.19.0-r6.apk
2024-10-25 20:12
66K
fildesh-0.2.0-r0.apk
2024-10-25 20:11
66K
vim-nerdtree-7.1.3-r0.apk
2025-03-04 21:00
67K
py3-isbnlib-pyc-3.10.14-r0.apk
2025-01-19 11:55
67K
py3-bookkeeper-pyc-4.17.2-r0.apk
2025-07-13 21:41
67K
clipit-1.4.5-r3.apk
2024-10-25 20:11
67K
heisenbridge-1.15.3-r0.apk
2025-04-20 05:16
67K
charls-2.4.2-r0.apk
2024-10-25 20:11
67K
xsoldier-1.8-r2.apk
2024-10-25 20:13
67K
bitlbee-facebook-1.2.2-r0.apk
2024-10-25 20:11
67K
py3-pysubs2-pyc-1.8.0-r0.apk
2024-12-25 22:09
68K
mrsh-libs-0_git20210518-r1.apk
2024-10-25 20:11
68K
py3-python-iptables-pyc-1.0.1-r1.apk
2024-10-25 20:12
68K
gnome-user-share-lang-48.1-r0.apk
2025-07-01 19:19
68K
perl-io-lambda-doc-1.34-r0.apk
2024-10-25 20:12
68K
ocaml-eqaf-0.8-r2.apk
2024-10-25 20:12
68K
py3-blockdiag-3.0.0-r6.apk
2025-01-10 12:38
68K
gamemode-1.8.2-r0.apk
2025-02-05 23:26
68K
arc-cinnamon-20221218-r0.apk
2024-10-25 20:10
68K
endeavour-doc-43.0-r2.apk
2024-12-08 21:39
68K
py3-pystache-0.6.5-r1.apk
2024-10-25 20:12
68K
php81-doc-8.1.33-r0.apk
2025-07-02 22:19
68K
ginac-dev-1.8.9-r0.apk
2025-05-25 07:51
68K
py3-ncclient-0.6.13-r5.apk
2024-10-25 20:12
68K
py3-zimscraperlib-pyc-3.4.0-r0.apk
2024-11-06 09:41
68K
libofx-0.10.9-r1.apk
2024-10-25 20:11
68K
py3-quart-0.20.0-r0.apk
2025-07-23 06:26
68K
subliminal-2.2.1-r1.apk
2025-05-14 18:17
68K
arc-dark-cinnamon-20221218-r0.apk
2024-10-25 20:10
68K
ocaml-mew-0.1.0-r3.apk
2024-10-25 20:12
69K
php81-ffi-8.1.33-r0.apk
2025-07-02 22:19
69K
atlantik-lang-3.5.10_git20240323-r0.apk
2024-10-25 20:10
69K
gupnp-dlna-0.12.0-r0.apk
2024-12-01 12:58
69K
libtommath-dev-1.2.1-r1.apk
2025-05-25 07:51
69K
mkosi-doc-25.3-r1.apk
2025-07-15 17:31
69K
tpm2-pkcs11-pyc-1.9.1-r0.apk
2024-10-25 20:12
69K
py3-bandwidth-sdk-pyc-3.1.0-r8.apk
2024-10-25 20:12
69K
ocfs2-tools-doc-1.8.7-r4.apk
2024-10-25 20:12
69K
debconf-1.5.82-r0.apk
2024-10-25 20:11
69K
py3-lsprotocol-2023.0.1-r1.apk
2024-10-25 20:12
69K
hfst-doc-3.16.2-r0.apk
2025-03-29 15:58
70K
libxml++-5.4.0-r0.apk
2025-02-13 07:47
70K
aravis-viewer-0.8.31-r0.apk
2024-10-25 20:10
70K
ckb-next-daemon-0.6.2-r0.apk
2025-03-19 11:45
70K
libnest2d-dev-0.4-r7.apk
2025-02-06 04:49
70K
serialdv-libs-1.1.4-r1.apk
2025-02-08 23:44
70K
py3-hg-git-1.1.1-r1.apk
2024-10-25 20:12
70K
smassh-pyc-3.1.6-r0.apk
2024-11-21 02:56
70K
tree-sitter-caddy-0_git20230322-r0.apk
2024-10-25 20:12
70K
libsirocco-2.1.0-r2.apk
2024-10-25 20:11
70K
wlroots0.15-dev-0.15.1-r6.apk
2024-10-25 20:13
70K
xdg-ninja-0.2.0.2-r0.apk
2024-10-25 20:13
70K
wlroots0.16-dev-0.16.2-r0.apk
2024-10-28 13:47
71K
twemproxy-0.5.0-r0.apk
2024-10-25 20:13
71K
getmail6-6.19.08-r0.apk
2025-05-15 09:51
71K
raku-json-marshal-0.0.25-r0.apk
2025-06-07 07:44
71K
opendht-dev-3.1.11-r0.apk
2025-01-27 20:56
71K
py3-irc-pyc-20.4.1-r0.apk
2024-10-25 20:12
71K
libiscsi-static-1.19.0-r2.apk
2024-10-25 20:11
71K
php85-ffi-8.5.0_alpha2-r0.apk
2025-07-16 04:02
71K
errands-lang-46.2.8-r0.apk
2025-05-22 15:07
71K
elementary-calculator-8.0.0-r0.apk
2024-10-28 22:05
71K
py3-limits-pyc-3.14.1-r0.apk
2024-12-25 16:22
71K
tmate-doc-2.4.0-r4.apk
2024-10-25 20:12
72K
pqiv-2.12-r1.apk
2024-10-25 20:12
72K
raku-json-unmarshal-0.18-r0.apk
2025-06-07 07:44
72K
postgresql16-wal2json-2.6-r0.apk
2024-10-25 20:12
72K
py3-latex2mathml-3.77.0-r1.apk
2024-10-25 20:12
72K
py3-apio-0.9.5-r0.apk
2024-10-25 20:12
72K
smassh-3.1.6-r0.apk
2024-11-21 02:56
72K
hypnotix-lang-3.5-r0.apk
2024-10-25 20:11
72K
b2-tools-4.3.2-r0.apk
2025-05-03 08:44
72K
normaliz-dev-3.10.4-r2.apk
2025-06-13 05:13
73K
py3-ovos-utils-0.8.1-r0.apk
2025-07-15 20:03
73K
libwbxml-0.11.8-r0.apk
2024-10-25 20:11
73K
xa-2.4.1-r0.apk
2025-02-25 12:36
73K
rhasspy-nlu-pyc-0.4.0-r3.apk
2024-10-25 20:12
73K
horizon-image-0.9.6-r9.apk
2024-10-25 20:11
73K
afew-3.0.1-r0.apk
2025-05-18 22:30
73K
screenkey-pyc-1.5-r7.apk
2025-07-22 20:52
73K
py3-hishel-pyc-0.1.3-r0.apk
2025-07-08 23:15
73K
yaru-theme-hdpi-25.10.1-r0.apk
2025-07-13 20:19
73K
perl-encode-detect-1.01-r1.apk
2025-07-01 19:19
73K
py3-tg-0.19.0-r5.apk
2024-10-25 20:12
73K
topgit-doc-0.19.13-r1.apk
2024-10-25 20:12
73K
libiio-tools-0.25-r2.apk
2024-10-25 20:11
74K
hidrd-0.2.0_git20190603-r1.apk
2024-10-25 20:11
74K
libqtdbusmock-0.9.1-r2.apk
2025-02-17 09:34
74K
py3-scour-pyc-0.38.2-r1.apk
2024-10-25 20:12
74K
py3-mopidy-mpd-pyc-3.3.0-r4.apk
2024-10-25 20:12
74K
elementary-settings-daemon-lang-8.3.0-r0.apk
2025-05-26 09:05
74K
vcdimager-doc-2.0.1-r5.apk
2025-01-22 10:33
74K
ocaml-gmap-dev-0.3.0-r2.apk
2024-10-25 20:12
74K
perl-snmp-5.0404-r14.apk
2025-07-01 19:19
74K
ocaml-domain-name-0.4.0-r2.apk
2024-10-25 20:12
75K
py3-aesedb-pyc-0.1.6-r3.apk
2025-05-29 12:07
75K
bliss-0.77-r1.apk
2024-10-25 20:11
75K
ocaml-lru-0.3.0-r2.apk
2024-10-25 20:12
75K
ocaml-ptime-dev-1.0.0-r2.apk
2024-10-25 20:12
75K
viewnior-1.8-r1.apk
2024-10-25 20:13
75K
libfishsound-doc-1.0.0-r1.apk
2024-10-25 20:11
75K
p0f-3.09b-r3.apk
2024-10-25 20:12
75K
elementary-music-8.0.0-r0.apk
2024-10-28 22:06
75K
perl-io-lambda-1.34-r0.apk
2024-10-25 20:12
75K
highfive-2.10.1-r0.apk
2025-01-15 02:52
75K
py3-markdown2-pyc-2.5.0-r0.apk
2024-10-25 20:12
75K
log4cpp-1.1.4-r1.apk
2024-10-25 20:11
76K
libxo-dev-1.7.5-r0.apk
2025-01-12 22:45
76K
fastd-23-r0.apk
2025-01-27 21:33
76K
mimalloc1-insecure-1.9.3-r1.apk
2025-05-18 16:00
76K
ocaml-sha-1.15.4-r0.apk
2024-10-25 20:12
76K
screenkey-1.5-r7.apk
2025-07-22 20:52
76K
py3-minio-7.2.13-r0.apk
2024-12-25 17:48
76K
ocaml-tsdl-image-dev-0.6-r0.apk
2024-10-25 20:12
76K
py3-doit-0.36.0-r5.apk
2024-10-25 20:12
77K
soundconverter-pyc-4.1.0-r0.apk
2025-06-24 16:41
77K
ustr-debug-1.0.4-r1.apk
2024-10-25 20:13
77K
dnsperf-2.14.0-r0.apk
2024-10-25 20:11
77K
py3-asyauth-0.0.21-r1.apk
2025-05-29 12:07
77K
aide-0.18.8-r0.apk
2024-10-25 20:10
77K
libstirshaken-dev-0_git20240208-r4.apk
2025-04-28 19:56
77K
monetdb-dev-11.33.11-r4.apk
2024-10-25 20:11
77K
ocaml-stringext-dev-1.6.0-r2.apk
2024-10-25 20:12
77K
csfml-dev-2.5.2-r0.apk
2024-10-25 20:11
77K
py3-apio-pyc-0.9.5-r0.apk
2024-10-25 20:12
77K
py3-pyparted-3.13.0-r1.apk
2024-10-25 20:12
77K
perl-dbix-class-schema-loader-doc-0.07053-r0.apk
2025-06-09 16:28
77K
cln-doc-1.3.7-r1.apk
2025-05-25 07:51
77K
idesk-1-r1.apk
2024-10-25 20:11
77K
ocaml-compiler-libs-repackaged-0.12.4-r3.apk
2024-10-25 20:12
77K
wlroots0.17-dev-0.17.4-r1.apk
2024-11-23 09:00
77K
nb-doc-7.19.1-r0.apk
2025-05-24 22:11
77K
curtail-lang-1.13.0-r0.apk
2025-07-05 20:40
78K
gammastep-lang-2.0.9-r3.apk
2024-10-25 20:11
78K
sdl3_image-3.2.4-r0.apk
2025-03-24 20:26
78K
py3-nwdiag-pyc-3.0.0-r3.apk
2024-10-25 20:12
78K
fplll-dev-5.5.0-r0.apk
2024-11-18 18:00
78K
py3-youtube-search-1.6.6-r5.apk
2025-05-14 18:17
78K
ytmdl-pyc-2024.08.15.1-r1.apk
2025-05-14 18:17
78K
pypy-dev-7.3.12-r0.apk
2024-10-25 20:12
78K
py3-pysimplesoap-pyc-1.16.2-r7.apk
2024-10-25 20:12
78K
flowd-0.9.1-r11.apk
2025-07-01 19:19
78K
tuned-doc-2.25.1-r2.apk
2025-05-28 10:42
79K
py3-solidpython-1.1.2-r2.apk
2024-10-25 20:12
79K
surfraw-2.3.0-r0.apk
2024-10-25 20:12
79K
perl-algorithm-evolutionary-0.82.1-r0.apk
2024-10-25 20:12
79K
flatseal-lang-2.3.1-r0.apk
2025-06-19 15:30
79K
ffms2-5.0-r0.apk
2024-10-25 20:11
79K
py3-shodan-pyc-1.31.0-r1.apk
2024-10-25 20:12
79K
kronosnet-1.30-r0.apk
2025-05-27 23:27
79K
atlantik-doc-3.5.10_git20240323-r0.apk
2024-10-25 20:10
79K
proot-5.4.0-r1.apk
2024-10-25 20:12
79K
py3-apt-lang-2.9.9-r0.apk
2025-07-14 03:36
80K
py3-ward-pyc-0.67.0_beta0-r2.apk
2024-10-25 20:12
80K
planarity-libs-4.0.0.0-r0.apk
2025-03-16 10:06
80K
spiped-1.6.2-r1.apk
2024-10-25 20:12
80K
reprotest-0.7.29-r0.apk
2024-12-23 04:07
80K
php81-openssl-8.1.33-r0.apk
2025-07-02 22:19
80K
cpplint-2.0.2-r0.apk
2025-04-13 23:24
80K
gupnp-av-0.14.4-r0.apk
2025-06-28 23:47
80K
irccd-doc-4.0.3-r0.apk
2024-10-25 20:11
80K
opkg-libs-0.7.0-r0.apk
2024-10-25 20:12
80K
mimedefang-doc-3.6-r0.apk
2025-03-02 12:16
80K
vit-2.3.2-r1.apk
2024-10-25 20:13
80K
lxappearance-lang-0.6.3-r3.apk
2024-10-25 20:11
81K
py3-tg-pyc-0.19.0-r5.apk
2024-10-25 20:12
81K
cpp-httplib-0.23.1-r0.apk
2025-07-17 16:07
81K
mxclient-0_git20211002-r1.apk
2024-10-25 20:11
81K
libiml-1.0.5-r3.apk
2024-10-25 20:11
81K
perl-gtk2-ex-widgetbits-doc-48-r3.apk
2024-10-25 20:12
81K
ledmon-1.0.0-r0.apk
2024-10-25 20:11
81K
tk9-dev-9.0.2-r0.apk
2025-07-03 08:14
81K
py3-sphinx-theme-cloud-1.10.0-r2.apk
2024-10-25 20:12
81K
tree-sitter-pascal-0.9.1-r0.apk
2024-10-25 20:12
81K
quickjs-ng-0.10.1-r0.apk
2025-05-16 17:24
81K
py3-unearth-pyc-0.17.5-r0.apk
2025-04-11 18:13
82K
hping3-20051105-r4.apk
2024-10-25 20:11
82K
libucl-dev-0.9.0-r0.apk
2024-10-25 20:11
82K
silc-client-doc-1.1.11-r18.apk
2025-07-01 19:19
82K
boxes-2.3.1-r0.apk
2024-10-25 20:11
82K
getssl-2.48-r0.apk
2024-10-25 20:11
82K
perl-cairo-1.109-r5.apk
2025-07-01 19:19
82K
libiml-static-1.0.5-r3.apk
2024-10-25 20:11
82K
perl-pango-doc-1.227-r12.apk
2025-07-01 19:19
82K
dbus-broker-37-r0.apk
2025-06-17 10:18
82K
linuxptp-ptp4l-4.4-r0.apk
2024-11-18 18:00
83K
ocaml-happy-eyeballs-0.3.0-r2.apk
2024-10-25 20:12
83K
py3-winacl-0.1.9-r1.apk
2025-05-29 12:07
83K
libgivaro-4.2.0-r2.apk
2024-10-25 20:11
83K
php85-mysqlnd-8.5.0_alpha2-r0.apk
2025-07-16 04:02
83K
pantalaimon-pyc-0.10.5-r4.apk
2024-10-25 20:12
83K
ocaml-arp-3.0.0-r3.apk
2024-10-25 20:11
83K
elementary-sound-theme-1.1.0-r0.apk
2024-11-10 22:08
83K
ocaml-ethernet-dev-3.0.0-r3.apk
2024-10-25 20:12
83K
mimalloc1-1.9.3-r1.apk
2025-05-18 16:00
83K
thefuck-3.32-r5.apk
2024-10-25 20:12
83K
perl-net-idn-encode-2.500-r2.apk
2025-07-01 19:19
84K
idevicerestore-1.0.0-r4.apk
2024-10-30 22:44
84K
py3-asysocks-0.2.13-r1.apk
2025-05-29 12:07
84K
youtube-viewer-3.11.6-r0.apk
2025-06-09 05:05
84K
razercfg-0.42-r7.apk
2024-10-25 20:12
84K
sydbox-doc-3.21.3-r0.apk
2024-10-25 20:12
84K
errands-46.2.8-r0.apk
2025-05-22 15:07
84K
py3-gls-pyc-1.3.1-r1.apk
2024-10-25 20:12
84K
bananui-dev-2.0.0-r0.apk
2024-10-25 20:10
84K
php81-mysqlnd-8.1.33-r0.apk
2025-07-02 22:19
84K
perl-net-amqp-rabbitmq-2.40012-r1.apk
2025-07-01 19:19
84K
lomiri-action-api-1.2.0-r0.apk
2025-04-11 18:13
84K
llvm21-linker-tools-21.1.0_rc1-r1.apk
2025-07-22 13:51
85K
barman-doc-3.14.1-r0.apk
2025-06-25 14:18
85K
firehol-3.1.7-r2.apk
2024-10-25 20:11
85K
elementary-settings-daemon-8.3.0-r0.apk
2025-05-26 09:05
85K
py3-marshmallow-pyc-3.26.1-r0.apk
2025-02-21 22:40
85K
perl-pango-1.227-r12.apk
2025-07-01 19:19
85K
viewnior-lang-1.8-r1.apk
2024-10-25 20:13
85K
elementary-videos-lang-8.0.1-r0.apk
2024-11-10 22:07
85K
ocaml-logs-dev-0.7.0-r3.apk
2024-10-25 20:12
86K
neofetch-7.1.0-r2.apk
2024-11-06 21:46
86K
py3-flask-dbconfig-0.3.12-r8.apk
2024-10-25 20:12
86K
raku-nativelibs-0.0.9-r0.apk
2025-07-21 08:40
86K
lua5.1-luastatic-0.0.12-r1.apk
2024-10-25 20:11
86K
py3-ovos-ocp-audio-plugin-pyc-0.0.6_alpha2-r3.apk
2024-10-25 20:12
86K
gearman-libs-1.1.21-r2.apk
2025-05-22 07:14
86K
arc-dark-gtk4-20221218-r0.apk
2024-10-25 20:10
86K
vim-airline-0.11-r0.apk
2024-10-25 20:13
86K
horizon-tools-0.9.6-r9.apk
2024-10-25 20:11
86K
py3-ovos-plugin-manager-1.0.3-r0.apk
2025-07-15 19:34
86K
musikcube-plugin-httpdatastream-3.0.4-r1.apk
2025-01-26 19:50
87K
ocaml-iso8601-dev-0.2.6-r0.apk
2024-10-25 20:12
87K
libeantic-2.1.0-r1.apk
2025-06-13 05:13
87K
dodo-pyc-0_git20250313-r0.apk
2025-04-24 08:41
87K
elementary-camera-8.0.1-r0.apk
2025-05-13 06:41
87K
aptdec-1.8.0-r1.apk
2025-02-08 23:44
87K
freediameter-libfdproto-1.5.0-r1.apk
2024-10-25 20:11
87K
php84-pecl-solr-2.8.1-r0.apk
2025-04-23 21:27
87K
duc-1.4.5-r0.apk
2024-10-25 20:11
87K
perl-finance-quote-doc-1.66-r0.apk
2025-07-12 13:43
88K
py3-caldav-2.0.1-r0.apk
2025-06-26 14:59
88K
opentelemetry-cpp-exporter-otlp-http-1.22.0-r0.apk
2025-07-16 11:25
88K
libiscsi-utils-1.19.0-r2.apk
2024-10-25 20:11
88K
raku-http-hpack-1.0.3-r0.apk
2025-06-07 07:44
88K
purple-facebook-0.9.6-r0.apk
2024-10-25 20:12
88K
sqliteodbc-0.99991-r0.apk
2024-10-25 20:12
88K
lfm-3.1-r4.apk
2024-10-25 20:11
88K
lavacli-pyc-2.4-r0.apk
2025-06-15 04:25
88K
ocaml-base64-3.5.0-r2.apk
2024-10-25 20:11
88K
lua-lut-1.2.1-r0.apk
2024-10-25 20:11
89K
libretro-pocketcdg-0_git20220327-r0.apk
2024-10-25 20:11
89K
libmustache-0.5.0-r1.apk
2024-10-25 20:11
89K
mongo-cxx-driver-dev-3.8.0-r0.apk
2024-10-25 20:11
89K
py3-arcus-5.3.0-r5.apk
2025-06-12 14:06
89K
py3-ovos-bus-client-pyc-1.3.4-r0.apk
2025-04-25 06:18
89K
php85-openssl-8.5.0_alpha2-r0.apk
2025-07-16 04:02
89K
ruby-coderay-1.1.3-r0.apk
2025-05-25 23:56
89K
py3-fpdf-pyc-1.7.2-r5.apk
2024-10-25 20:12
89K
fusesoc-pyc-2.3-r0.apk
2024-10-25 20:11
89K
createrepo_c-libs-1.1.4-r0.apk
2024-10-25 20:11
89K
openslide-3.4.1-r3.apk
2024-10-25 20:12
89K
lua5.1-libguestfs-1.56.1-r0.apk
2025-07-22 22:05
90K
xfce4-mixer-4.18.1-r2.apk
2024-10-25 20:13
90K
py3-pypubsub-pyc-4.0.3-r0.apk
2024-10-25 20:12
90K
zapret-0.0.0_git20220125-r1.apk
2024-10-25 20:13
90K
gammastep-2.0.9-r3.apk
2024-10-25 20:11
90K
gupnp-1.6.9-r0.apk
2025-06-28 23:47
90K
gtkhash-1.5-r0.apk
2024-10-25 20:11
90K
elementary-dock-8.0.2-r0.apk
2025-05-24 22:58
90K
perl-soap-lite-doc-1.27-r5.apk
2024-10-25 20:12
90K
py3-dogpile.cache-pyc-1.3.3-r1.apk
2025-05-14 18:17
90K
emacs-elfeed-3.4.2-r0.apk
2025-04-23 21:27
91K
btpd-0.16-r2.apk
2024-10-25 20:11
91K
pimd-3.0_git20220201-r0.apk
2024-10-25 20:12
91K
py3-tidalapi-pyc-0.8.4-r0.apk
2025-07-12 16:03
91K
libigraph-dev-0.10.16-r0.apk
2025-06-11 20:47
91K
ocaml-bigstringaf-dev-0.9.0-r2.apk
2024-10-25 20:11
91K
py3-ovos-backend-client-pyc-1.0.0-r0.apk
2024-10-25 20:12
91K
py3-rosdistro-pyc-0.9.0-r3.apk
2024-10-25 20:12
91K
ocaml-merlin-extend-dev-0.6.1-r2.apk
2024-10-25 20:12
91K
ruby-build-20250507-r0.apk
2025-05-15 13:21
91K
katarakt-0.2-r1.apk
2025-01-29 19:46
91K
oblibs-dbg-0.3.4.0-r0.apk
2025-06-01 16:07
91K
lomiri-terminal-app-lang-2.0.5-r0.apk
2025-05-09 17:35
91K
ustr-dev-1.0.4-r1.apk
2024-10-25 20:13
91K
py3-pyatem-pyc-0.5.0-r4.apk
2024-10-25 20:12
92K
ocaml-uuseg-14.0.0-r2.apk
2024-10-25 20:12
92K
ocaml-num-dev-1.4-r3.apk
2024-10-25 20:12
92K
armagetronad-doc-0.2.9.1.1-r0.apk
2024-10-25 20:10
92K
libmygpo-qt-1.1.0-r2.apk
2024-10-25 20:11
92K
ocaml-libvirt-dev-0.6.1.7-r0.apk
2024-10-25 20:12
92K
py3-ovos-workshop-7.0.6-r0.apk
2025-07-15 19:29
92K
py3-unicrypto-pyc-0.0.10-r3.apk
2025-05-29 12:07
92K
video-trimmer-lang-25.03-r0.apk
2025-07-01 19:19
93K
py3-mistletoe-pyc-1.4.0-r0.apk
2025-03-19 11:46
93K
libmdbx-dev-0.11.8-r0.apk
2024-10-25 20:11
93K
arc-dark-gtk3-20221218-r0.apk
2024-10-25 20:10
93K
libctl-4.5.1-r1.apk
2024-10-25 20:11
93K
py3-xlwt-1.3.0-r10.apk
2025-05-12 21:41
94K
ocaml-ptmap-dev-2.0.5-r3.apk
2024-10-25 20:12
94K
castero-pyc-0.9.5-r4.apk
2025-05-14 18:17
94K
py3-requests-cache-pyc-1.2.1-r1.apk
2024-11-18 18:00
94K
py3-moviepy-1.0.3-r6.apk
2024-10-25 20:12
94K
ocaml-psq-0.2.0-r2.apk
2024-10-25 20:12
95K
py3-mnemonic-0.21-r0.apk
2024-10-25 20:12
95K
py3-ovos-phal-plugin-network-manager-1.3.2-r0.apk
2024-11-21 13:32
95K
moosefs-doc-4.56.6-r2.apk
2025-06-19 08:56
95K
py3-soappy-pyc-0.52.30-r0.apk
2024-12-02 22:53
95K
py3-youtube-search-pyc-1.6.6-r5.apk
2025-05-14 18:17
95K
py3-flask-peewee-pyc-3.0.6-r0.apk
2024-10-25 20:12
95K
ocaml-xmlm-dev-1.4.0-r2.apk
2024-10-25 20:12
96K
ocaml-ssl-0.7.0-r0.apk
2024-10-25 20:12
96K
ocaml-mew-dev-0.1.0-r3.apk
2024-10-25 20:12
96K
rmlint-shredder-2.10.2-r2.apk
2024-10-25 20:12
96K
libsemanage-3.6-r1.apk
2024-10-25 20:11
96K
lomiri-ui-toolkit-lang-1.3.5110-r1.apk
2025-06-28 18:16
97K
efibootguard-0.16-r1.apk
2025-07-20 21:07
97K
ustr-doc-1.0.4-r1.apk
2024-10-25 20:13
97K
libgivaro-static-4.2.0-r2.apk
2024-10-25 20:11
97K
py3-pystache-pyc-0.6.5-r1.apk
2024-10-25 20:12
97K
anarch-1.0-r1.apk
2024-10-25 20:10
97K
ruri-3.8-r0.apk
2025-06-24 02:43
97K
py3-chameleon-4.6.0-r0.apk
2025-07-06 09:17
97K
ssdfs-tools-4.09-r0.apk
2024-10-25 20:12
97K
perl-dbix-class-schema-loader-0.07053-r0.apk
2025-06-09 16:28
97K
ginac-doc-1.8.9-r0.apk
2025-05-25 07:51
98K
py3-avro-1.11.3-r1.apk
2024-10-25 20:12
98K
py3-transitions-0.9.2-r0.apk
2024-10-25 20:12
98K
lomiri-settings-components-lang-1.1.2-r0.apk
2025-01-10 10:15
98K
libmhash-0.9.9.9-r3.apk
2024-10-25 20:11
98K
azote-pyc-1.14.0-r0.apk
2024-12-14 20:38
98K
py3-qpageview-0.6.2-r1.apk
2024-10-25 20:12
98K
py3-drf-yasg-pyc-1.21.10-r0.apk
2025-07-15 08:26
98K
k2-0_git20220807-r1.apk
2024-10-25 20:11
98K
zapret-doc-0.0.0_git20220125-r1.apk
2024-10-25 20:13
98K
tree-sitter-dart-0_git20250228-r0.apk
2025-03-10 22:57
99K
ctorrent-dnh-3.3.2-r2.apk
2024-10-25 20:11
99K
hpnssh-doc-18.6.2-r0.apk
2025-03-20 11:57
99K
burp-doc-3.1.4-r0.apk
2024-10-25 20:11
99K
cpplint-pyc-2.0.2-r0.apk
2025-04-13 23:24
99K
perl-sys-virt-doc-11.1.0-r1.apk
2025-07-01 19:19
100K
ocaml-fpath-dev-0.7.3-r2.apk
2024-10-25 20:12
100K
monopd-0.10.4-r0.apk
2025-01-11 11:11
100K
py3-pyinstrument-pyc-5.0.3-r0.apk
2025-07-02 17:19
100K
dooit-pyc-3.2.2-r0.apk
2025-05-16 07:20
100K
caffeine-ng-4.2.0-r1.apk
2024-10-25 20:11
100K
sshuttle-pyc-1.1.2-r0.apk
2024-10-25 20:12
101K
hdf4-dev-4.2.15-r2.apk
2024-10-25 20:11
101K
liboggz-1.1.1-r2.apk
2024-10-25 20:11
101K
urlwatch-pyc-2.28-r2.apk
2024-10-25 20:13
101K
singular-emacs-4.4.1_p2-r0.apk
2025-07-02 17:19
102K
ocaml-charinfo_width-1.1.0-r3.apk
2024-10-25 20:12
102K
otf-atkinson-hyperlegible-2020.0514-r1.apk
2024-10-25 20:12
102K
py3-cdio-2.1.1-r6.apk
2025-01-20 20:43
102K
openscap-daemon-pyc-0.1.10-r9.apk
2024-10-25 20:12
102K
lomiri-notifications-1.3.1-r0.apk
2025-01-10 10:15
102K
nvim-plenary-0.1.4_git20240917-r0.apk
2024-11-11 04:07
102K
hatch-1.14.1-r0.apk
2025-04-11 18:13
102K
care-2.3.0-r1.apk
2024-10-25 20:11
102K
py3-catkin-pkg-pyc-0.5.2-r4.apk
2024-10-25 20:12
103K
reprotest-pyc-0.7.29-r0.apk
2024-12-23 04:07
103K
py3-ovos-classifiers-0.0.0_alpha53-r0.apk
2024-10-25 20:12
103K
ttfautohint-libs-1.8.4-r0.apk
2024-10-25 20:13
103K
guish-2.6.11-r0.apk
2024-12-24 10:42
103K
66-tools-dbg-0.1.2.0-r0.apk
2025-06-01 16:07
103K
rizin-cutter-dev-2.4.1-r0.apk
2025-07-01 19:19
103K
bananui-shell-0.2.0-r0.apk
2024-10-25 20:10
103K
h4h5tools-static-2.2.5-r4.apk
2024-10-25 20:11
103K
prosody-mod-register_json-0.11_hg20201208-r0.apk
2024-10-25 20:12
104K
py3-ovos-ocp-files-plugin-pyc-0.13.1-r0.apk
2024-10-25 20:12
104K
mpdcron-0.3-r1.apk
2024-10-25 20:11
104K
raku-text-markdown-1.1.1_git20221121-r0.apk
2025-07-21 08:40
104K
catfish-pyc-4.20.1-r0.apk
2025-07-07 19:20
104K
jdebp-redo-1.4-r1.apk
2024-10-25 20:11
104K
ocaml-compiler-libs-repackaged-dev-0.12.4-r3.apk
2024-10-25 20:12
104K
getmail6-pyc-6.19.08-r0.apk
2025-05-15 09:51
104K
csfml-2.5.2-r0.apk
2024-10-25 20:11
104K
pithos-1.6.1-r0.apk
2024-10-25 20:12
104K
nbsdgames-5-r0.apk
2024-10-25 20:11
105K
u1db-qt-0.1.8-r0.apk
2024-10-25 20:13
105K
lkrg-0.9.6-r1.apk
2025-01-09 11:44
105K
libfoma-0.10.0_git20240712-r0.apk
2024-10-25 20:11
105K
perl-libapreq2-2.17-r3.apk
2025-07-01 19:19
105K
policycoreutils-lang-3.6-r1.apk
2024-10-25 20:12
105K
raku-file-which-1.0.4-r0.apk
2025-06-08 16:33
106K
ruby-libguestfs-1.56.1-r0.apk
2025-07-22 22:05
106K
libsbsms-2.3.0-r0.apk
2024-10-25 20:11
106K
libofx-tools-0.10.9-r1.apk
2024-10-25 20:11
106K
kronosnet-doc-1.30-r0.apk
2025-05-27 23:27
106K
lomiri-gallery-app-lang-3.0.2-r1.apk
2025-03-13 06:06
106K
perl-finance-quote-1.66-r0.apk
2025-07-12 13:43
106K
fceux-doc-2.6.6-r2.apk
2024-10-25 20:11
106K
transmission-remote-gtk-lang-1.6.0-r0.apk
2024-10-25 20:12
106K
opkg-dev-0.7.0-r0.apk
2024-10-25 20:12
106K
nm-tray-0.5.0-r0.apk
2024-10-25 20:11
106K
py3-ncclient-pyc-0.6.13-r5.apk
2024-10-25 20:12
107K
handlebars-1.0.0-r1.apk
2024-10-25 20:11
107K
perl-software-license-0.104007-r0.apk
2025-07-08 16:53
107K
py3-hg-git-pyc-1.1.1-r1.apk
2024-10-25 20:12
107K
crispy-doom-doc-7.0-r0.apk
2024-10-25 20:11
107K
soundconverter-lang-4.1.0-r0.apk
2025-06-24 16:41
107K
ocaml-tsdl-ttf-dev-0.6-r0.apk
2024-10-25 20:12
107K
py3-lsprotocol-pyc-2023.0.1-r1.apk
2024-10-25 20:12
107K
otrs-setup-6.0.48-r2.apk
2024-10-25 20:12
107K
lumina-desktop-sudo-1.6.2-r0.apk
2024-10-25 20:11
107K
lomiri-telephony-service-lang-0.6.1-r3.apk
2025-07-03 15:27
108K
py3-joserfc-pyc-1.2.2-r0.apk
2025-07-14 06:55
108K
predict-2.3.1-r0.apk
2024-11-23 19:05
108K
mediastreamer2-doc-5.3.100-r1.apk
2025-06-01 16:07
108K
libnih-1.0.3-r7.apk
2024-10-25 20:11
108K
elf_diff-pyc-0.7.1-r3.apk
2024-10-25 20:11
108K
gnome-latex-doc-3.48.0-r0.apk
2025-04-07 21:29
108K
elf_diff-0.7.1-r3.apk
2024-10-25 20:11
108K
raku-meta6-0.0.30-r0.apk
2025-06-07 07:44
108K
detox-2.0.0-r0.apk
2024-10-25 20:11
108K
ocaml-mirage-flow-3.0.0-r3.apk
2024-10-25 20:12
108K
libbraiding-1.3.1-r0.apk
2024-11-18 18:00
109K
h4h5tools-2.2.5-r4.apk
2024-10-25 20:11
109K
py3-pyinstrument-5.0.3-r0.apk
2025-07-02 17:19
109K
zapzap-6.0.1.8-r0.apk
2025-04-13 23:48
109K
py3-dnslib-pyc-0.9.25-r0.apk
2024-10-25 20:12
109K
raku-crypt-random-0.4.1-r0.apk
2025-06-07 07:44
109K
py3-lxmf-pyc-0.7.1-r0.apk
2025-05-27 21:32
109K
moe-1.14-r0.apk
2024-10-25 20:11
109K
mediastreamer2-dev-5.3.100-r1.apk
2025-06-01 16:07
110K
libmhash-dev-0.9.9.9-r3.apk
2024-10-25 20:11
110K
py3-langcodes-pyc-3.3.0-r2.apk
2024-10-25 20:12
110K
font-cousine-0_git20210228-r0.apk
2024-10-25 20:11
110K
hypnotix-3.5-r0.apk
2024-10-25 20:11
110K
arc-darker-gtk4-20221218-r0.apk
2024-10-25 20:10
110K
wayqt-0.3.0-r0.apk
2025-04-21 21:41
110K
perl-soap-lite-1.27-r5.apk
2024-10-25 20:12
110K
libnih-dev-1.0.3-r7.apk
2024-10-25 20:11
110K
xtl-0.7.7-r0.apk
2024-10-25 20:13
111K
maxima-emacs-5.47.0-r9.apk
2025-07-07 21:33
111K
py3-wstools-pyc-0.4.10-r7.apk
2024-10-25 20:12
111K
emacs-embark-1.1-r0.apk
2025-04-23 21:27
111K
menumaker-0.99.14-r1.apk
2024-10-25 20:11
111K
ocaml-easy-format-dev-1.3.4-r1.apk
2024-10-25 20:12
111K
py3-litex-hub-valentyusb-2024.04-r0.apk
2024-10-25 20:12
112K
compiz-pyc-0.9.14.2-r11.apk
2025-06-12 14:06
112K
fungw-fawk-1.2.1-r0.apk
2024-12-30 09:48
112K
ccrtp-2.1.2-r0.apk
2024-10-25 20:11
112K
cluster-glue-libs-1.0.12-r5.apk
2024-10-25 20:11
112K
py3-litex-hub-pythondata-cpu-lm32-2024.04-r0.apk
2024-10-25 20:12
112K
atomicparsley-20240608-r0.apk
2024-10-25 20:10
112K
catdoc-0.95-r1.apk
2024-10-25 20:11
112K
qdjango-0.6.2-r1.apk
2024-10-25 20:12
112K
sblim-wbemcli-1.6.3-r1.apk
2024-10-25 20:12
112K
ocaml-ptime-1.0.0-r2.apk
2024-10-25 20:12
113K
way-displays-1.14.1-r0.apk
2025-07-05 16:46
113K
pyradio-doc-0.9.3.11-r0.apk
2024-10-25 20:12
113K
arc-lighter-gtk4-20221218-r0.apk
2024-10-25 20:10
113K
interception-tools-0.6.8-r2.apk
2024-10-25 20:11
113K
libabigail-tools-2.3-r0.apk
2024-10-25 20:11
113K
x11docker-7.6.0-r1.apk
2024-10-25 20:13
113K
bliss-dev-0.77-r1.apk
2024-10-25 20:11
113K
arc-gtk4-20221218-r0.apk
2024-10-25 20:10
114K
elementary-videos-8.0.1-r0.apk
2024-11-10 22:07
114K
ocaml-camlzip-1.11-r2.apk
2024-10-25 20:11
114K
fluent-bit-dev-3.1.10-r1.apk
2025-02-17 09:34
115K
ocaml-logs-0.7.0-r3.apk
2024-10-25 20:12
115K
py3-flask-restaction-0.25.3-r8.apk
2024-10-25 20:12
115K
htslib-dev-1.19-r0.apk
2024-10-25 20:11
115K
lizardfs-3.13.0-r17.apk
2025-06-19 08:56
116K
ocaml-jsonm-1.0.2-r0.apk
2024-10-25 20:12
116K
lomiri-docviewer-app-lang-3.0.4-r2.apk
2025-03-13 06:06
116K
tachyon-0.99_beta6-r1.apk
2024-10-25 20:12
116K
hamster-time-tracker-doc-3.0.3-r2.apk
2024-10-25 20:11
116K
proot-static-5.4.0-r1.apk
2024-10-25 20:12
117K
yosys-dev-0.42-r1.apk
2025-06-12 14:06
117K
compiz-dev-0.9.14.2-r11.apk
2025-06-12 14:06
117K
belr-5.3.105-r0.apk
2025-02-25 12:52
117K
py3-caldav-pyc-2.0.1-r0.apk
2025-06-26 14:59
118K
py3-spnego-0.11.2-r0.apk
2025-01-16 07:52
118K
uucp-doc-1.07-r6.apk
2024-10-25 20:13
118K
font-firamath-0.3.4-r0.apk
2024-10-25 20:11
118K
shellinabox-2.21-r3.apk
2024-10-25 20:12
118K
ocaml-lwt_react-5.7.0-r0.apk
2024-10-25 20:12
119K
wok-pyc-3.0.0-r6.apk
2024-10-25 20:13
119K
sbase-0_git20210730-r3.apk
2024-10-25 20:12
119K
rosdep-pyc-0.19.0-r6.apk
2024-10-25 20:12
119K
py3-apt-pyc-2.9.9-r0.apk
2025-07-14 03:36
119K
py3-poppler-qt5-21.3.0-r2.apk
2025-01-29 19:46
119K
perl-git-raw-doc-0.90-r4.apk
2025-07-01 19:19
119K
vcdimager-dev-2.0.1-r5.apk
2025-01-22 10:33
120K
py3-solidpython-pyc-1.1.2-r2.apk
2024-10-25 20:12
120K
zile-2.6.2-r1.apk
2024-10-25 20:13
120K
wf-config-0.9.0-r0.apk
2025-02-27 20:18
120K
py3-hypercorn-pyc-0.17.3-r0.apk
2025-07-23 06:26
120K
ocaml-eqaf-dev-0.8-r2.apk
2024-10-25 20:12
121K
faust-tools-2.79.3-r0.apk
2025-06-07 17:04
121K
moosefs-cgi-4.56.6-r2.apk
2025-06-19 08:56
121K
bake-2.5.1-r0.apk
2024-10-25 20:10
121K
drogon-dev-1.9.4-r2.apk
2025-05-22 07:14
121K
perl-dbix-class-helpers-doc-2.037000-r0.apk
2024-11-18 18:00
121K
libvisio2svg-utils-0.5.5-r3.apk
2024-10-25 20:11
122K
raku-time-crontab-1.0.0-r0.apk
2025-07-21 08:40
122K
android-file-transfer-cli-4.5-r0.apk
2025-06-24 23:02
122K
gcli-2.6.1-r0.apk
2025-01-26 17:29
122K
bionic_translation-dbg-0_git20250324-r0.apk
2025-04-14 17:11
122K
py3-edalize-0.5.4-r0.apk
2024-10-25 20:12
123K
py3-m2crypto-pyc-0.41.0-r2.apk
2024-10-25 20:12
123K
libsbsms-dev-2.3.0-r0.apk
2024-10-25 20:11
123K
osmctools-0.9-r0.apk
2024-10-25 20:12
123K
ocaml-fmt-dev-0.9.0-r2.apk
2024-10-25 20:12
123K
py3-jupyterlab_server-2.27.3-r0.apk
2025-03-19 11:46
124K
arc-darker-gtk3-20221218-r0.apk
2024-10-25 20:10
124K
hw-probe-1.6.6-r2.apk
2025-07-01 19:19
124K
libretro-xrick-0_git20220331-r0.apk
2024-10-25 20:11
124K
py3-cchardet-2.1.7-r5.apk
2024-10-25 20:12
124K
granite7-7.5.0-r0.apk
2024-10-25 20:11
124K
rmlint-shredder-pyc-2.10.2-r2.apk
2024-10-25 20:12
124K
arc-lighter-gtk3-20221218-r0.apk
2024-10-25 20:10
125K
py3-kazoo-0_git20211202-r4.apk
2024-10-25 20:12
125K
ginger-lang-2.4.0-r7.apk
2024-10-25 20:11
125K
resources-lang-1.8.0-r1.apk
2025-05-09 22:12
126K
php82-pecl-teds-1.3.0-r0.apk
2024-10-25 20:12
126K
arc-gtk3-20221218-r0.apk
2024-10-25 20:10
126K
zapzap-pyc-6.0.1.8-r0.apk
2025-04-13 23:48
126K
deviced-0_git20250427-r0.apk
2025-07-05 20:03
127K
topgit-0.19.13-r1.apk
2024-10-25 20:12
127K
berry-lang-1.1.0-r0.apk
2024-10-25 20:10
127K
odyssey-1.3-r3.apk
2024-10-25 20:12
127K
cdist-pyc-7.0.0-r6.apk
2024-10-25 20:11
128K
ruby-byebug-11.1.3-r0.apk
2025-05-25 23:56
128K
catfish-4.20.1-r0.apk
2025-07-07 19:20
128K
tpm2-pkcs11-1.9.1-r0.apk
2024-10-25 20:12
128K
zfsbootmenu-2.3.0-r1.apk
2024-10-25 20:13
128K
py3-github3-4.0.1-r1.apk
2024-10-25 20:12
128K
py3-minikerberos-0.4.6-r1.apk
2025-05-29 12:07
128K
py3-transitions-pyc-0.9.2-r0.apk
2024-10-25 20:12
129K
py3-dbus-fast-pyc-2.44.1-r0.apk
2025-05-02 05:27
129K
py3-minidump-pyc-0.0.24-r1.apk
2025-05-29 12:07
129K
modem-manager-gui-lang-0.0.20-r0.apk
2024-10-25 20:11
129K
typobuster-1.0.0-r0.apk
2025-04-23 22:52
129K
libvoikko-4.3.2-r1.apk
2024-10-25 20:11
129K
hidrd-dev-0.2.0_git20190603-r1.apk
2024-10-25 20:11
129K
wayfire-dev-0.9.0-r0.apk
2025-02-27 20:18
130K
ocaml-integers-0.7.0-r2.apk
2024-10-25 20:12
130K
otpclient-4.1.1-r0.apk
2025-07-22 22:44
130K
php81-phar-8.1.33-r0.apk
2025-07-02 22:19
130K
py3-ovos-utils-pyc-0.8.1-r0.apk
2025-07-15 20:03
130K
py3-chameleon-pyc-4.6.0-r0.apk
2025-07-06 09:17
131K
bdfr-2.6.2-r1.apk
2024-10-25 20:10
131K
raku-docker-file-1.1-r0.apk
2025-06-07 07:44
131K
py3-winacl-pyc-0.1.9-r1.apk
2025-05-29 12:07
131K
ocaml-lwt_log-1.1.1-r5.apk
2024-10-25 20:12
131K
php85-phar-8.5.0_alpha2-r0.apk
2025-07-16 04:02
131K
w_scan2-1.0.17-r0.apk
2025-06-11 18:25
132K
debconf-lang-1.5.82-r0.apk
2024-10-25 20:11
132K
perl-astro-satpass-doc-0.133-r0.apk
2025-07-21 08:40
133K
cgiirc-0.5.12-r1.apk
2024-10-25 20:11
133K
py3-doit-pyc-0.36.0-r5.apk
2024-10-25 20:12
133K
lfm-pyc-3.1-r4.apk
2024-10-25 20:11
134K
neard-0.19-r0.apk
2024-10-25 20:11
134K
liboggz-doc-1.1.1-r2.apk
2024-10-25 20:11
134K
perl-mce-1.901-r0.apk
2025-01-03 14:34
134K
minigalaxy-pyc-1.4.0-r0.apk
2025-07-11 03:36
135K
subliminal-pyc-2.2.1-r1.apk
2025-05-14 18:17
135K
primesieve-libs-12.8-r0.apk
2025-04-29 21:49
135K
log4cxx-dev-1.1.0-r3.apk
2025-02-17 09:34
135K
php81-gd-8.1.33-r0.apk
2025-07-02 22:19
135K
perl-html-formhandler-0.40068-r0.apk
2025-05-01 06:39
135K
lizardfs-metalogger-3.13.0-r17.apk
2025-06-19 08:56
136K
b2-tools-pyc-4.3.2-r0.apk
2025-05-03 08:44
136K
ovos-audio-1.0.1-r0.apk
2025-07-15 20:03
136K
ocaml-fpath-0.7.3-r2.apk
2024-10-25 20:12
137K
bootchart2-0.14.9-r0.apk
2025-01-03 14:34
137K
obnc-0.17.2-r0.apk
2025-05-25 23:37
137K
emacs-consult-1.4_git20240405-r0.apk
2024-10-25 20:11
138K
getmail6-doc-6.19.08-r0.apk
2025-05-15 09:51
138K
lumina-desktop-photo-1.6.2-r0.apk
2024-10-25 20:11
138K
py3-scs-3.2.3-r4.apk
2024-10-25 20:12
139K
py3-marisa-trie-1.2.1-r0.apk
2024-11-11 13:13
139K
ocaml-curses-1.0.10-r2.apk
2024-10-25 20:12
139K
bochs-doc-2.8-r1.apk
2025-02-20 18:40
139K
nullmailer-2.2-r4.apk
2024-10-25 20:11
139K
py3-cmd2-2.4.3-r2.apk
2024-10-25 20:12
139K
wolfssh-1.4.17-r0.apk
2024-10-25 20:13
139K
ocaml-calendar-dev-2.04-r4.apk
2024-10-25 20:11
139K
tinyemu-2019.12.21-r0.apk
2025-05-25 23:35
140K
motion-doc-4.7.0-r0.apk
2024-10-25 20:11
140K
motion-4.7.0-r0.apk
2024-10-25 20:11
140K
brltty-dev-6.7-r1.apk
2025-03-29 15:58
140K
nymphcast-mediaserver-0.1-r3.apk
2024-10-25 20:11
140K
boinc-screensaver-7.24.3-r0.apk
2024-10-25 20:11
141K
barnyard2-2.1.14_git20160413-r1.apk
2024-10-25 20:10
141K
android-file-transfer-libs-4.5-r0.apk
2025-06-24 23:02
141K
libsemanage-dev-3.6-r1.apk
2024-10-25 20:11
141K
libtins-dev-4.5-r1.apk
2024-10-25 20:11
141K
ocaml-domain-name-dev-0.4.0-r2.apk
2024-10-25 20:12
141K
mapnik-doc-4.0.6-r1.apk
2025-06-10 12:01
142K
php85-gd-8.5.0_alpha2-r0.apk
2025-07-16 04:02
142K
klevernotes-lang-1.1.0-r0.apk
2024-10-25 20:11
142K
pnmixer-0.7.2-r3.apk
2024-10-25 20:12
142K
py3-migen-0.9.2-r2.apk
2024-10-25 20:12
143K
nomadnet-0.7.0-r0.apk
2025-05-27 21:32
143K
aws-lc-tools-1.56.0-r0.apk
2025-07-23 08:14
143K
py3-msldap-0.5.15-r1.apk
2025-05-29 12:07
143K
terminology-lang-1.14.0-r0.apk
2025-05-27 22:49
143K
py3-pika-1.3.2-r1.apk
2024-10-25 20:12
143K
php81-pecl-xdebug-3.4.5-r0.apk
2025-07-20 13:27
144K
ocaml-mikmatch-1.0.9-r2.apk
2024-10-25 20:12
144K
xosview-1.24-r0.apk
2024-10-25 20:13
144K
php85-pecl-xdebug-3.4.5-r0.apk
2025-07-20 13:27
144K
grip-lang-4.2.4-r0.apk
2024-10-25 20:11
144K
libretro-dinothawr-0_git20220401-r0.apk
2024-10-25 20:11
145K
slidge-0.1.3-r0.apk
2024-10-25 20:12
145K
font-fira-code-vf-6.2-r0.apk
2024-10-25 20:11
145K
php81-soap-8.1.33-r0.apk
2025-07-02 22:19
145K
rauc-1.10.1-r0.apk
2024-10-25 20:12
146K
php81-pecl-protobuf-4.30.0-r0.apk
2025-03-05 18:38
147K
remake-1.5-r1.apk
2024-10-25 20:12
147K
qml-box2d-0_git20180406-r0.apk
2024-10-25 20:12
147K
sdparm-1.12-r1.apk
2024-10-25 20:12
147K
ustr-static-1.0.4-r1.apk
2024-10-25 20:13
147K
py3-quart-pyc-0.20.0-r0.apk
2025-07-23 06:26
147K
ocaml-happy-eyeballs-dev-0.3.0-r2.apk
2024-10-25 20:12
147K
py3-pelican-pyc-4.9.1-r2.apk
2024-10-25 20:12
147K
perl-algorithm-evolutionary-doc-0.82.1-r0.apk
2024-10-25 20:12
148K
perl-ffi-platypus-doc-2.10-r1.apk
2025-07-01 19:19
148K
openswitcher-0.5.0-r4.apk
2024-10-25 20:12
148K
brltty-lang-6.7-r1.apk
2025-03-29 15:58
149K
libsimplebluez-0.10.3-r0.apk
2025-07-11 02:16
149K
py3-blockdiag-pyc-3.0.0-r6.apk
2025-01-10 12:38
149K
epic6-script-0_git20250630-r0.apk
2025-07-21 08:40
149K
perl-catalyst-runtime-5.90132-r0.apk
2025-03-19 11:46
150K
php85-soap-8.5.0_alpha2-r0.apk
2025-07-16 04:02
150K
mmix-0_git20221025-r0.apk
2024-10-25 20:11
150K
php81-intl-8.1.33-r0.apk
2025-07-02 22:19
151K
transmission-remote-gtk-1.6.0-r0.apk
2024-10-25 20:12
151K
libbamf-0.5.6-r1.apk
2024-10-25 20:11
151K
vit-pyc-2.3.2-r1.apk
2024-10-25 20:13
151K
ocaml-pcre-7.5.0-r4.apk
2024-10-25 20:12
152K
malcontent-0.12.0-r0.apk
2025-05-08 12:15
152K
nb-7.19.1-r0.apk
2025-05-24 22:11
152K
ttfautohint-dev-1.8.4-r0.apk
2024-10-25 20:13
153K
py3-opendht-3.1.11-r0.apk
2025-01-27 20:56
154K
pithos-pyc-1.6.1-r0.apk
2024-10-25 20:12
154K
ocaml-mqtt-0.2.2-r0.apk
2024-10-25 20:12
155K
heisenbridge-pyc-1.15.3-r0.apk
2025-04-20 05:16
155K
mimedefang-3.6-r0.apk
2025-03-02 12:16
155K
cscope-15.9-r1.apk
2024-10-25 20:11
155K
llvm21-test-utils-pyc-21.1.0_rc1-r1.apk
2025-07-22 13:51
155K
py3-cssutils-2.11.1-r1.apk
2024-10-25 20:12
155K
php85-dom-8.5.0_alpha2-r0.apk
2025-07-16 04:02
155K
mir-demos-2.21.1-r0.apk
2025-07-12 11:30
155K
py3-moviepy-pyc-1.0.3-r6.apk
2024-10-25 20:12
156K
thefuck-pyc-3.32-r5.apk
2024-10-25 20:12
156K
hamster-time-tracker-3.0.3-r2.apk
2024-10-25 20:11
156K
ace-of-penguins-1.4-r3.apk
2024-10-25 20:10
156K
bananui-dbg-2.0.0-r0.apk
2024-10-25 20:10
156K
rmlint-2.10.2-r2.apk
2024-10-25 20:12
156K
smplxmpp-0.9.3-r4.apk
2024-12-18 12:06
156K
py3-simplesat-pyc-0.8.2-r0.apk
2024-10-25 20:12
157K
wok-3.0.0-r6.apk
2024-10-25 20:13
157K
ocaml-ocplib-endian-1.2-r3.apk
2024-10-25 20:12
157K
libm4ri-20240729-r2.apk
2025-01-15 18:23
157K
gatling-0.16-r6.apk
2024-10-25 20:11
158K
ocaml-arp-dev-3.0.0-r3.apk
2024-10-25 20:11
158K
tinygltf-2.9.6-r0.apk
2025-06-08 16:35
158K
libntl-dev-11.5.1-r4.apk
2024-10-25 20:11
159K
freediameter-libfdcore-1.5.0-r1.apk
2024-10-25 20:11
159K
tuned-2.25.1-r2.apk
2025-05-28 10:42
159K
libstirshaken-tools-0_git20240208-r4.apk
2025-04-28 19:56
159K
click-0.5.2-r4.apk
2025-02-17 09:34
160K
py3-minio-pyc-7.2.13-r0.apk
2024-12-25 17:48
160K
ocaml-uucd-dev-14.0.0-r2.apk
2024-10-25 20:12
161K
py3-freetype-py-2.5.1-r0.apk
2024-10-25 20:12
161K
steghide-0.5.1.1-r0.apk
2024-10-25 20:12
161K
srain-1.8.1-r0.apk
2025-06-26 18:42
161K
dsp-2.0-r0.apk
2025-05-12 07:44
162K
liboggz-dev-1.1.1-r2.apk
2024-10-25 20:11
162K
ocaml-lru-dev-0.3.0-r2.apk
2024-10-25 20:12
162K
perl-dancer2-1.1.2-r0.apk
2024-12-28 04:54
163K
py3-ovos-classifiers-pyc-0.0.0_alpha53-r0.apk
2024-10-25 20:12
164K
fava-pyc-1.28-r0.apk
2024-10-25 20:11
164K
pidif-0.1-r1.apk
2024-10-25 20:12
165K
soundconverter-4.1.0-r0.apk
2025-06-24 16:41
165K
py3-xlwt-pyc-1.3.0-r10.apk
2025-05-12 21:41
165K
ocaml-fix-20220121-r2.apk
2024-10-25 20:12
165K
olsrd-0.9.8-r3.apk
2024-10-25 20:12
165K
py3-ovos-workshop-pyc-7.0.6-r0.apk
2025-07-15 19:29
165K
ocaml-cairo2-0.6.2-r2.apk
2024-10-25 20:11
166K
mame-plugins-0.251-r0.apk
2024-10-25 20:11
166K
catfish-lang-4.20.1-r0.apk
2025-07-07 19:20
167K
py3-ovos-plugin-manager-pyc-1.0.3-r0.apk
2025-07-15 19:34
167K
libzrtpcpp-4.7.0-r0.apk
2025-01-04 21:55
167K
py3-html5-parser-0.4.12-r1.apk
2024-10-25 20:12
167K
guetzli-0_git20191025-r2.apk
2025-05-25 07:51
167K
lomiri-ui-toolkit-dev-1.3.5110-r1.apk
2025-06-28 18:16
168K
py3-asyauth-pyc-0.0.21-r1.apk
2025-05-29 12:07
169K
ocaml-sexplib0-0.16.0-r0.apk
2024-10-25 20:12
169K
perl-mce-doc-1.901-r0.apk
2025-01-03 14:34
170K
zvbi-0.2.44-r0.apk
2025-03-11 20:28
170K
lomiri-filemanager-app-lang-1.0.4-r1.apk
2025-03-13 06:06
171K
ocaml-psq-dev-0.2.0-r2.apk
2024-10-25 20:12
171K
trace-cmd-doc-3.3.1-r1.apk
2025-01-19 11:55
171K
youtube-viewer-gtk-3.11.6-r0.apk
2025-06-09 05:05
172K
kimchi-lang-3.0.0-r8.apk
2025-02-10 22:47
172K
py3-flask-peewee-3.0.6-r0.apk
2024-10-25 20:12
172K
libretro-frodo-0_git20221221-r0.apk
2024-10-25 20:11
172K
wk-adblock-0.0.4-r5.apk
2024-10-25 20:13
172K
winetricks-20250102-r0.apk
2025-02-05 22:20
172K
trace-cmd-3.3.1-r1.apk
2025-01-19 11:55
173K
raku-cbor-simple-0.1.4-r0.apk
2025-06-07 07:44
173K
commoncpp-dev-7.0.1-r1.apk
2024-10-25 20:11
173K
wolfssh-dev-1.4.17-r0.apk
2024-10-25 20:13
173K
py3-langcodes-3.3.0-r2.apk
2024-10-25 20:12
174K
spread-sheet-widget-dbg-0.10-r0.apk
2024-10-25 20:12
174K
qqc2-suru-style-0.20230206-r1.apk
2024-10-25 20:12
175K
lumina-desktop-fileinfo-1.6.2-r0.apk
2024-10-25 20:11
175K
perl-extutils-makemaker-7.70-r2.apk
2024-10-25 20:12
175K
click-pyc-0.5.2-r4.apk
2025-02-17 09:34
175K
ocaml-angstrom-0.16.0-r0.apk
2024-10-25 20:11
175K
ocaml-base64-dev-3.5.0-r2.apk
2024-10-25 20:11
175K
libm4ri-static-20240729-r2.apk
2025-01-15 18:23
176K
olsrd-plugins-0.9.8-r3.apk
2024-10-25 20:12
176K
primecount-libs-7.17-r0.apk
2025-05-16 14:32
176K
liblastfm-qt-1.1.10_git20190823-r3.apk
2024-10-25 20:11
176K
libemf2svg-1.1.0-r2.apk
2024-10-25 20:11
177K
ocaml-libvirt-0.6.1.7-r0.apk
2024-10-25 20:12
177K
libqd-2.3.24-r0.apk
2024-10-25 20:11
177K
py3-apt-2.9.9-r0.apk
2025-07-14 03:36
178K
py3-tlslite-ng-0.7.6-r8.apk
2024-10-25 20:12
179K
libxo-1.7.5-r0.apk
2025-01-12 22:45
179K
glfw-wayland-dbg-3.3.8-r3.apk
2024-10-25 20:11
179K
py3-netmiko-4.5.0-r0.apk
2025-02-13 07:33
179K
py3-apicula-pyc-0.11.1-r1.apk
2024-10-25 20:12
179K
hyfetch-pyc-1.99.0-r1.apk
2024-10-25 20:11
180K
ocaml-astring-dev-0.8.5-r2.apk
2024-10-25 20:11
180K
py3-qpageview-pyc-0.6.2-r1.apk
2024-10-25 20:12
180K
mjpg-streamer-0_git20210220-r2.apk
2025-05-14 16:02
180K
ptpd-2.3.1-r1.apk
2024-10-25 20:12
180K
lomiri-libusermetrics-1.3.3-r1.apk
2025-02-17 09:34
181K
linkchecker-10.5.0-r0.apk
2025-05-18 23:10
181K
perl-git-raw-0.90-r4.apk
2025-07-01 19:19
181K
pympress-1.8.5-r1.apk
2024-10-25 20:12
181K
thermald-2.5.9-r0.apk
2025-04-25 06:18
181K
kdiskmark-3.2.0-r0.apk
2025-07-01 19:19
182K
lumina-desktop-archiver-1.6.2-r0.apk
2024-10-25 20:11
182K
telegram-tdlib-dev-1.8.47-r0.apk
2025-04-14 01:06
182K
ocaml-mew_vi-0.5.0-r3.apk
2024-10-25 20:12
182K
pympress-pyc-1.8.5-r1.apk
2024-10-25 20:12
182K
perl-ffi-platypus-2.10-r1.apk
2025-07-01 19:19
182K
libqd-doc-2.3.24-r0.apk
2024-10-25 20:11
182K
convert2json-rsv-json-2.2.2-r0.apk
2025-05-26 00:39
183K
py3-libguestfs-1.56.1-r0.apk
2025-07-22 22:05
183K
turntable-0.3.3-r0.apk
2025-05-27 13:01
183K
tcl9-dev-9.0.2-r0.apk
2025-07-03 08:14
184K
php85-intl-8.5.0_alpha2-r0.apk
2025-07-16 04:02
184K
anari-sdk-static-0.7.2-r0.apk
2024-10-25 20:10
185K
dnssec-tools-dev-2.2.3-r13.apk
2025-07-01 19:19
186K
lumina-desktop-screenshot-1.6.2-r0.apk
2024-10-25 20:11
186K
libm4rie-20200125-r5.apk
2025-01-15 18:23
186K
guake-pyc-3.10-r1.apk
2024-10-25 20:11
186K
hdf4-tools-4.2.15-r2.apk
2024-10-25 20:11
186K
gearmand-doc-1.1.21-r2.apk
2025-05-22 07:14
186K
py3-ly-0.9.9-r0.apk
2025-07-12 21:56
187K
py3-pdal-3.4.5-r1.apk
2025-06-21 21:50
187K
dodo-0_git20250313-r0.apk
2025-04-24 08:41
187K
ocaml-fmt-0.9.0-r2.apk
2024-10-25 20:12
188K
guake-lang-3.10-r1.apk
2024-10-25 20:11
188K
ocaml-lambdasoup-0.7.3-r2.apk
2024-10-25 20:12
188K
ocaml-charinfo_width-dev-1.1.0-r3.apk
2024-10-25 20:12
188K
mrsh-dbg-0_git20210518-r1.apk
2024-10-25 20:11
188K
aravis-libs-0.8.31-r0.apk
2024-10-25 20:10
189K
moosefs-chunkserver-4.56.6-r2.apk
2025-06-19 08:56
189K
aufs-util-20161219-r3.apk
2024-10-25 20:10
189K
ocaml-uunf-dev-14.0.0-r2.apk
2024-10-25 20:12
189K
libretro-mu-0_git20220317-r0.apk
2024-10-25 20:11
189K
php81-pecl-timezonedb-2025.2-r0.apk
2025-03-27 11:06
189K
sublime-music-0.12.0-r1.apk
2024-10-25 20:12
190K
py3-xsdata-25.7-r0.apk
2025-07-07 20:47
190K
nitro-dev-2.7_beta8-r2.apk
2024-10-25 20:11
190K
py3-edalize-pyc-0.5.4-r0.apk
2024-10-25 20:12
190K
corosync-doc-3.1.9-r0.apk
2025-05-29 03:16
190K
psftools-1.1.2-r0.apk
2024-10-25 20:12
190K
66-doc-0.8.2.1-r0.apk
2025-06-03 19:11
190K
libretro-opera-0_git20211214-r0.apk
2024-10-25 20:11
191K
py3-avro-pyc-1.11.3-r1.apk
2024-10-25 20:12
191K
pyinfra-3.3.1-r0.apk
2025-07-16 00:27
192K
ocaml-react-dev-1.2.2-r2.apk
2024-10-25 20:12
193K
libretro-gw-0_git20220410-r0.apk
2024-10-25 20:11
193K
ocaml-mirage-flow-dev-3.0.0-r3.apk
2024-10-25 20:12
193K
convert2json-rsv-jaq-2.2.2-r0.apk
2025-05-26 00:39
194K
makedumpfile-1.7.7-r0.apk
2025-04-22 14:39
194K
rtaudio-doc-6.0.1-r0.apk
2025-04-17 23:03
194K
nlopt-2.10.0-r1.apk
2025-06-04 13:53
194K
py3-netifaces2-0.0.22-r0.apk
2024-10-25 20:12
195K
py3-owslib-0.34.1-r0.apk
2025-07-13 19:28
195K
py3-cryptg-0.5.0-r0.apk
2025-05-08 12:15
195K
py3-m2crypto-0.41.0-r2.apk
2024-10-25 20:12
195K
endeavour-43.0-r2.apk
2024-12-08 21:39
195K
gingerbase-2.3.0-r7.apk
2024-10-25 20:11
195K
sedutil-1.15.1-r1.apk
2024-10-25 20:12
195K
lomiri-indicator-network-lang-1.1.1-r0.apk
2025-05-09 17:35
196K
mspdebug-0.25-r1.apk
2024-10-25 20:11
196K
gearmand-1.1.21-r2.apk
2025-05-22 07:14
197K
linux-apfs-rw-src-0.3.8-r0.apk
2024-10-25 20:11
197K
minigalaxy-1.4.0-r0.apk
2025-07-11 03:36
197K
libretro-gme-0_git20240628-r0.apk
2024-10-25 20:11
198K
font-tinos-0_git20210228-r0.apk
2024-10-25 20:11
199K
opendht-3.1.11-r0.apk
2025-01-27 20:56
199K
kontainer-1.0.1-r0.apk
2025-06-17 03:03
199K
libettercap-0.8.3.1-r3.apk
2024-10-25 20:11
200K
mimalloc1-debug-1.9.3-r1.apk
2025-05-18 16:00
200K
remake-doc-1.5-r1.apk
2024-10-25 20:12
202K
mongo-cxx-driver-3.8.0-r0.apk
2024-10-25 20:11
202K
qt6ct-0.9-r4.apk
2025-07-09 07:53
203K
endeavour-lang-43.0-r2.apk
2024-12-08 21:39
203K
burp-3.1.4-r0.apk
2024-10-25 20:11
203K
csfml-doc-2.5.2-r0.apk
2024-10-25 20:11
204K
android-file-transfer-4.5-r0.apk
2025-06-24 23:02
204K
convert2json-ini-json-2.2.2-r0.apk
2025-05-26 00:39
204K
ocaml-ssl-dev-0.7.0-r0.apk
2024-10-25 20:12
204K
zydis-4.1.0-r0.apk
2024-10-25 20:13
205K
draco-dev-1.5.7-r2.apk
2025-02-17 09:34
205K
font-fontawesome-4-4.7.0-r3.apk
2024-10-25 20:11
205K
hamster-time-tracker-lang-3.0.3-r2.apk
2024-10-25 20:11
206K
libsimpleble-0.10.3-r0.apk
2025-07-11 02:16
206K
hiawatha-11.6-r1.apk
2025-05-27 11:10
206K
perl-sys-virt-11.1.0-r1.apk
2025-07-01 19:19
206K
ginger-pyc-2.4.0-r7.apk
2024-10-25 20:11
207K
mint-y-theme-xfwm4-2.1.1-r0.apk
2024-10-25 20:11
207K
way-secure-0.2.0-r0.apk
2025-04-18 22:10
208K
py3-litex-hub-pythondata-cpu-marocchino-2024.04-r0.apk
2024-10-25 20:12
208K
hfst-dev-3.16.2-r0.apk
2025-03-29 15:58
209K
ocaml-magic-mime-1.3.1-r0.apk
2024-10-25 20:12
210K
krdp-6.4.2-r0.apk
2025-07-05 19:00
210K
ocaml-omod-dev-0.0.3-r3.apk
2024-10-25 20:12
211K
lumina-desktop-textedit-1.6.2-r0.apk
2024-10-25 20:11
211K
apache-mod-auth-openidc-2.4.16.11-r1.apk
2025-05-22 07:14
212K
snapper-lang-0.12.2-r0.apk
2025-05-06 12:27
212K
ocaml-calendar-2.04-r4.apk
2024-10-25 20:11
212K
ocaml-xml-light-2.5-r0.apk
2024-10-25 20:12
214K
boinc-libs-7.24.3-r0.apk
2024-10-25 20:11
214K
raku-terminal-quickcharts-0.0.2-r0.apk
2025-06-08 16:33
214K
py3-simplesat-0.8.2-r0.apk
2024-10-25 20:12
214K
lomiri-weather-app-lang-6.0.2-r0.apk
2025-03-11 12:02
214K
soapy-sdr-remote-0.5.2-r1.apk
2024-10-25 20:12
214K
perl-module-generic-doc-0.43.3-r0.apk
2025-04-23 21:27
214K
py3-b2sdk-2.8.1-r0.apk
2025-05-03 08:44
215K
convert2json-ini-jaq-2.2.2-r0.apk
2025-05-26 00:39
215K
warpinator-1.8.8-r3.apk
2025-06-11 18:25
216K
plplot-libs-5.15.0-r2.apk
2024-10-25 20:12
216K
hatch-pyc-1.14.1-r0.apk
2025-04-11 18:13
216K
ocaml-camlzip-dev-1.11-r2.apk
2024-10-25 20:11
216K
py3-dateparser-1.2.2-r0.apk
2025-07-06 19:46
216K
lumina-desktop-mediaplayer-1.6.2-r0.apk
2024-10-25 20:11
216K
perl-catalyst-runtime-doc-5.90132-r0.apk
2025-03-19 11:46
216K
convert2json-xml-json-2.2.2-r0.apk
2025-05-26 00:39
217K
libzvbi-0.2.44-r0.apk
2025-03-11 20:28
217K
perl-astro-satpass-0.133-r0.apk
2025-07-21 08:40
218K
ruby-facter-4.9.0-r1.apk
2025-04-23 21:27
218K
cddlib-0.94m-r2.apk
2024-10-25 20:11
219K
opensm-dev-3.3.24-r2.apk
2024-10-25 20:12
219K
libvmaf-dev-3.0.0-r0.apk
2024-10-25 20:11
219K
java-jtharness-examples-6.0_p12-r0.apk
2024-10-25 20:11
219K
cz-viator-hourglass-black-20210706-r0.apk
2024-10-25 20:11
219K
py3-spnego-pyc-0.11.2-r0.apk
2025-01-16 07:52
219K
nuklear-4.12.0-r0.apk
2024-10-25 20:11
220K
mingw-w64-libusb-1.0.28-r0.apk
2025-04-11 18:13
220K
syncthing-gtk-pyc-0.9.4.5-r2.apk
2024-10-25 20:12
221K
convert2json-messagepack-json-2.2.2-r0.apk
2025-05-26 00:39
221K
py3-duniterpy-1.1.1-r3.apk
2024-10-25 20:12
221K
py3-litex-hub-pythondata-cpu-mor1kx-2024.04-r0.apk
2024-10-25 20:12
221K
gmic-doc-3.5.5-r0.apk
2025-07-15 08:17
222K
belcard-libs-5.3.105-r0.apk
2025-02-25 12:48
222K
py3-slidge-style-parser-0.1.9-r0.apk
2025-04-12 06:04
222K
lomiri-settings-components-1.1.2-r0.apk
2025-01-10 10:15
222K
warpinator-lang-1.8.8-r3.apk
2025-06-11 18:25
222K
py3-cmd2-pyc-2.4.3-r2.apk
2024-10-25 20:12
223K
raku-protocol-mqtt-0.0.4-r0.apk
2025-06-08 16:33
223K
convert2json-cbor-json-2.2.2-r0.apk
2025-05-26 00:39
224K
horizon-0.9.6-r9.apk
2024-10-25 20:11
225K
libopensles-standalone-dbg-0_git20240221-r0.apk
2025-04-14 17:11
225K
parcellite-1.2.5-r0.apk
2024-10-25 20:12
225K
convert2json-xml-jaq-2.2.2-r0.apk
2025-05-26 00:39
227K
amber-mpris-1.2.9-r0.apk
2024-12-22 17:04
227K
yaru-shell-25.10.1-r0.apk
2025-07-13 20:19
227K
py3-flask-security-pyc-5.6.1-r0.apk
2025-04-21 21:40
227K
py3-github3-pyc-4.0.1-r1.apk
2024-10-25 20:12
227K
lcalc-2.1.0-r0.apk
2025-05-03 08:30
227K
lomiri-libusermetrics-doc-1.3.3-r1.apk
2025-02-17 09:34
228K
purple-hangouts-0_git20200422-r0.apk
2024-10-25 20:12
228K
getting-things-gnome-lang-0.6-r4.apk
2024-12-08 21:39
229K
php81-pecl-xlswriter-1.5.8-r0.apk
2024-11-11 01:44
229K
py3-imdbpy-2021.4.18-r5.apk
2024-10-25 20:12
229K
py3-asysocks-pyc-0.2.13-r1.apk
2025-05-29 12:07
229K
lomiri-clock-app-4.1.1-r0.apk
2025-05-09 17:35
230K
py3-litex-hub-pythondata-cpu-picorv32-2024.04-r0.apk
2024-10-25 20:12
230K
kgraphviewer-lang-2.5.0-r0.apk
2024-10-25 20:11
230K
convert2json-messagepack-jaq-2.2.2-r0.apk
2025-05-26 00:39
231K
chocolate-doom-doc-3.1.0-r0.apk
2024-10-25 20:11
231K
cosmic-icons-1.0.0_alpha7-r0.apk
2025-04-25 06:18
231K
lcalc-libs-2.1.0-r0.apk
2025-05-03 08:30
232K
py3-pelican-4.9.1-r2.apk
2024-10-25 20:12
234K
gmid-2.1.1-r0.apk
2024-11-27 19:26
234K
jotdown-0.7.0-r0.apk
2025-03-11 20:12
234K
convert2json-cbor-jaq-2.2.2-r0.apk
2025-05-26 00:39
234K
lomiri-docviewer-app-3.0.4-r2.apk
2025-03-13 06:06
234K
py3-tpm2-pytss-pyc-2.3.0-r1.apk
2024-10-25 20:12
236K
ocaml-cmdliner-dev-1.1.1-r3.apk
2024-10-25 20:12
236K
sigma-0.23.1-r1.apk
2024-10-25 20:12
237K
tup-0.7.11-r1.apk
2025-06-19 08:56
237K
perl-astro-pal-1.09-r0.apk
2025-07-21 08:40
238K
py3-kikit-1.7.2-r0.apk
2025-05-08 21:35
239K
py3-ansible-pylibssh-1.2.2-r0.apk
2025-07-18 07:52
239K
py3-scrapy-2.11.1-r1.apk
2024-10-25 20:12
240K
hdf4-4.2.15-r2.apk
2024-10-25 20:11
240K
qt5ct-1.8-r0.apk
2024-10-25 20:12
241K
ocaml-metrics-0.4.0-r3.apk
2024-10-25 20:12
242K
lomiri-thumbnailer-3.0.4-r2.apk
2025-02-17 09:34
242K
py3-imdbpy-pyc-2021.4.18-r5.apk
2024-10-25 20:12
243K
py3-okonomiyaki-pyc-2.0.0-r0.apk
2024-10-25 20:12
243K
ocaml-sha-dev-1.15.4-r0.apk
2024-10-25 20:12
243K
convert2json-csv-json-2.2.2-r0.apk
2025-05-26 00:39
243K
libgivaro-dev-4.2.0-r2.apk
2024-10-25 20:11
244K
py3-kazoo-pyc-0_git20211202-r4.apk
2024-10-25 20:12
245K
py3-pika-pyc-1.3.2-r1.apk
2024-10-25 20:12
246K
perl-power-tools-doc-1.052-r0.apk
2025-07-21 08:40
247K
libretro-cannonball-0_git20220309-r6.apk
2024-10-25 20:11
248K
mkdocs-rtd-dropdown-1.0.2-r5.apk
2024-10-25 20:11
248K
libm4rie-static-20200125-r5.apk
2025-01-15 18:23
249K
cluster-glue-1.0.12-r5.apk
2024-10-25 20:11
249K
mkdocs-cinder-1.2.0-r5.apk
2024-10-25 20:11
249K
font-comic-neue-2.51-r0.apk
2024-10-25 20:11
249K
scap-workbench-1.2.1-r3.apk
2024-10-25 20:12
249K
linphone-dev-5.3.38-r0.apk
2024-10-25 20:11
250K
bluez-tools-0_git20201025-r0.apk
2025-06-09 08:40
250K
mkosi-25.3-r1.apk
2025-07-15 17:31
251K
libqd-static-2.3.24-r0.apk
2024-10-25 20:11
251K
font-commit-mono-1.143-r0.apk
2024-10-25 20:11
251K
trantor-1.5.18-r0.apk
2024-10-25 20:12
252K
perl-badger-0.16-r0.apk
2025-06-15 14:50
253K
speedtest-5.2.5-r1.apk
2024-10-25 20:12
253K
moosefs-4.56.6-r2.apk
2025-06-19 08:56
254K
linkchecker-pyc-10.5.0-r0.apk
2025-05-18 23:10
254K
convert2json-csv-jaq-2.2.2-r0.apk
2025-05-26 00:39
254K
avra-dev-1.4.2-r0.apk
2024-10-25 20:10
255K
convert2json-bson-json-2.2.2-r0.apk
2025-05-26 00:39
255K
ocaml-lwt_log-dev-1.1.1-r5.apk
2024-10-25 20:12
255K
ocaml-num-1.4-r3.apk
2024-10-25 20:12
255K
ocaml-mqtt-dev-0.2.2-r0.apk
2024-10-25 20:12
256K
ginger-2.4.0-r7.apk
2024-10-25 20:11
257K
dcmtk-doc-3.6.9-r0.apk
2025-01-19 17:13
257K
appcenter-lang-8.0.0-r0.apk
2024-11-12 21:55
258K
ocaml-ocplib-endian-dev-1.2-r3.apk
2024-10-25 20:12
259K
mkdocs-bootstrap4-0.1.5-r5.apk
2024-10-25 20:11
260K
perl-badger-doc-0.16-r0.apk
2025-06-15 14:50
260K
solanum-6.0.0-r0.apk
2025-04-11 18:13
261K
vera++-1.3.0-r10.apk
2024-10-25 20:13
261K
pam-pkcs11-0.6.13-r0.apk
2025-06-10 19:03
262K
platformio-core-6.1.7-r3.apk
2024-10-25 20:12
263K
swayhide-0.2.1-r2.apk
2024-10-25 20:12
263K
postgresql-pgmq-1.1.1-r1.apk
2024-10-25 20:12
264K
convert2json-plist-json-2.2.2-r0.apk
2025-05-26 00:39
264K
objconv-2.52_git20210213-r2.apk
2024-10-25 20:11
264K
font-anonymous-pro-1.002-r2.apk
2024-10-25 20:11
264K
river-luatile-0.1.4-r0.apk
2025-05-19 14:30
265K
convert2json-bson-jaq-2.2.2-r0.apk
2025-05-26 00:39
265K
ocaml-down-dev-0.1.0-r3.apk
2024-10-25 20:12
266K
cpu-x-lang-5.2.0-r1.apk
2025-05-06 10:02
266K
xtensor-0.25.0-r0.apk
2025-01-15 02:52
267K
apache-mod-auth-openidc-static-2.4.16.11-r1.apk
2025-05-22 07:14
268K
edit-1.2.0-r0.apk
2025-06-12 15:25
268K
restinio-dev-0.6.19-r1.apk
2024-12-14 19:46
268K
irccd-4.0.3-r0.apk
2024-10-25 20:11
268K
py3-minikerberos-pyc-0.4.6-r1.apk
2025-05-29 12:07
268K
py3-iso639-lang-2.2.3-r0.apk
2024-10-25 20:12
269K
lomiri-lang-0.5.0-r0.apk
2025-05-09 17:35
269K
devil-1.8.0-r0.apk
2024-10-25 20:11
269K
nwg-panel-pyc-0.10.10-r0.apk
2025-07-14 06:52
270K
nwipe-0.38-r0.apk
2025-06-19 17:34
270K
convert2json-toml-json-2.2.2-r0.apk
2025-05-26 00:39
270K
snapraid-12.4-r0.apk
2025-05-09 17:37
270K
ocaml-uucd-14.0.0-r2.apk
2024-10-25 20:12
271K
ocaml-integers-dev-0.7.0-r2.apk
2024-10-25 20:12
271K
lynis-3.1.1-r0.apk
2024-10-25 20:11
271K
pdal-python-plugins-1.6.5-r0.apk
2025-06-21 21:50
272K
perl-module-generic-0.43.3-r0.apk
2025-04-23 21:27
272K
uasm-2.56.2-r0.apk
2024-10-25 20:13
273K
hardinfo2-lang-2.2.10-r0.apk
2025-05-18 22:19
273K
py3-tpm2-pytss-2.3.0-r1.apk
2024-10-25 20:12
273K
convert2json-plist-jaq-2.2.2-r0.apk
2025-05-26 00:39
274K
libzvbi-static-0.2.44-r0.apk
2025-03-11 20:28
274K
wlroots0.12-0.12.0-r1.apk
2024-10-25 20:13
275K
py3-anyascii-0.3.2-r1.apk
2024-10-25 20:12
275K
sfwbar-1.0_beta16-r1.apk
2024-12-12 19:02
275K
py3-tlslite-ng-pyc-0.7.6-r8.apk
2024-10-25 20:12
275K
komikku-lang-1.81.0-r0.apk
2025-07-10 20:33
275K
lomiri-ui-extras-0.7.0-r0.apk
2025-04-11 18:13
276K
gaupol-1.12-r2.apk
2024-10-25 20:11
276K
xgalaga-2.1.1.0-r1.apk
2024-10-25 20:13
276K
gaupol-lang-1.12-r2.apk
2024-10-25 20:11
277K
masky-0.2.0-r2.apk
2025-05-29 12:07
277K
py3-kikit-pyc-1.7.2-r0.apk
2025-05-08 21:35
277K
mediascanner2-0.115-r3.apk
2025-03-13 06:06
278K
ocaml-otr-0.3.10-r2.apk
2024-10-25 20:12
278K
raku-log-timeline-0.5.2-r0.apk
2025-06-07 07:44
278K
py3-cssutils-pyc-2.11.1-r1.apk
2024-10-25 20:12
279K
guestfs-tools-1.56.1-r0.apk
2025-07-22 22:05
279K
66-0.8.2.1-r0.apk
2025-06-03 19:11
279K
ocaml-react-1.2.2-r2.apk
2024-10-25 20:12
280K
convert2json-toml-jaq-2.2.2-r0.apk
2025-05-26 00:39
280K
libretro-crocods-0_git20210314-r1.apk
2024-10-25 20:11
281K
vectoroids-1.1.0-r2.apk
2024-10-25 20:13
281K
font-intel-one-mono-1.3.0-r0.apk
2024-10-25 20:11
281K
par2cmdline-turbo-1.3.0-r0.apk
2025-05-08 12:18
282K
nwg-panel-0.10.10-r0.apk
2025-07-14 06:52
283K
ocaml-astring-0.8.5-r2.apk
2024-10-25 20:11
283K
py3-pynest2d-5.2.2-r5.apk
2025-02-06 04:49
283K
fiery-2.0.0-r0.apk
2024-10-25 20:11
284K
tree-sitter-haskell-0.23.1-r0.apk
2025-01-09 15:52
284K
nomadnet-pyc-0.7.0-r0.apk
2025-05-27 21:32
284K
ocaml-conduit-6.1.0-r0.apk
2024-10-25 20:12
284K
advancescan-1.18-r1.apk
2024-10-25 20:10
284K
cddlib-static-0.94m-r2.apk
2024-10-25 20:11
285K
gpscorrelate-doc-2.3-r0.apk
2025-03-27 06:36
285K
slidge-pyc-0.1.3-r0.apk
2024-10-25 20:12
285K
py3-imageio-2.37.0-r0.apk
2025-07-11 22:13
285K
libretro-atari800-0_git20240924-r0.apk
2024-10-25 20:11
286K
py3-cassandra-driver-3.29.2-r0.apk
2024-10-25 20:12
286K
perl-imager-doc-1.028-r1.apk
2025-07-01 19:19
286K
toybox-0.8.12-r0.apk
2025-07-20 15:25
287K
phoronix-test-suite-doc-10.8.4-r2.apk
2024-10-25 20:12
287K
oblibs-dev-0.3.4.0-r0.apk
2025-06-01 16:07
288K
convert2json-yaml-json-2.2.2-r0.apk
2025-05-26 00:39
290K
corosync-3.1.9-r0.apk
2025-05-29 03:16
290K
gede-2.18.2-r1.apk
2024-10-25 20:11
290K
timewarrior-1.7.1-r0.apk
2024-10-25 20:12
290K
emacs-ement-0.16-r0.apk
2025-04-23 21:27
291K
mir-test-tools-2.21.1-r0.apk
2025-07-12 11:30
291K
lsmash-2.14.5-r2.apk
2024-10-25 20:11
292K
libfyaml-0.9-r0.apk
2024-10-25 20:11
293K
xvkbd-4.1-r2.apk
2024-10-25 20:13
293K
py3-python-stdnum-pyc-1.20-r0.apk
2024-10-25 20:12
293K
timew-1.4.3-r1.apk
2024-10-25 20:12
294K
ocaml-mew_vi-dev-0.5.0-r3.apk
2024-10-25 20:12
294K
py3-flask-security-5.6.1-r0.apk
2025-04-21 21:40
295K
py3-migen-pyc-0.9.2-r2.apk
2024-10-25 20:12
296K
wlroots0.15-0.15.1-r6.apk
2024-10-25 20:13
296K
tmate-2.4.0-r4.apk
2024-10-25 20:12
296K
mergerfs-2.40.2-r1.apk
2024-10-25 20:11
297K
percona-toolkit-doc-3.5.4-r1.apk
2024-10-25 20:12
298K
hex-0.6.0-r0.apk
2024-10-25 20:11
298K
libre-3.23.0-r0.apk
2025-06-27 07:14
298K
anari-sdk-0.7.2-r0.apk
2024-10-25 20:10
299K
lomiri-content-hub-2.1.0-r0.apk
2025-04-13 22:06
300K
convert2json-yaml-jaq-2.2.2-r0.apk
2025-05-26 00:39
300K
perl-dancer2-doc-1.1.2-r0.apk
2024-12-28 04:54
301K
pypy3-tkinter-7.3.12-r0.apk
2024-10-25 20:12
301K
biboumi-9.0-r8.apk
2025-05-28 21:40
301K
libqofono-qt5-0.124-r0.apk
2025-01-10 12:38
302K
sublime-music-pyc-0.12.0-r1.apk
2024-10-25 20:12
302K
ocaml-pcre-dev-7.5.0-r4.apk
2024-10-25 20:12
302K
ocaml-curses-dev-1.0.10-r2.apk
2024-10-25 20:12
303K
minisatip-1.3.4-r0.apk
2024-10-25 20:11
303K
libretro-cap32-0_git20220419-r0.apk
2024-10-25 20:11
303K
murex-doc-7.0.2107-r1.apk
2025-07-10 02:27
304K
lomiri-weather-app-6.0.2-r0.apk
2025-03-11 12:02
304K
apache2-mod-perl-doc-2.0.13-r2.apk
2025-07-01 19:19
304K
opmsg-1.84-r1.apk
2024-10-25 20:12
304K
perl-libintl-perl-1.35-r0.apk
2025-01-16 13:35
305K
guake-3.10-r1.apk
2024-10-25 20:11
305K
botan2-doc-2.19.5-r0.apk
2025-05-28 21:40
306K
waylevel-1.0.0-r1.apk
2024-10-25 20:13
306K
ocaml-notty-0.2.3-r0.apk
2024-10-25 20:12
308K
libretro-nxengine-0_git20220301-r0.apk
2024-10-25 20:11
308K
ocaml-fileutils-0.6.4-r2.apk
2024-10-25 20:12
309K
gstreamermm-dev-1.10.0-r6.apk
2025-02-17 09:34
310K
plplot-doc-5.15.0-r2.apk
2024-10-25 20:12
311K
ocaml-ipaddr-5.3.1-r2.apk
2024-10-25 20:12
311K
ocaml-magic-mime-dev-1.3.1-r0.apk
2024-10-25 20:12
311K
flint-dev-3.3.1-r0.apk
2025-06-17 04:32
311K
botan2-dev-2.19.5-r0.apk
2025-05-28 21:40
312K
py3-xdoctest-1.2.0-r0.apk
2024-11-21 13:32
312K
ocaml-asn1-combinators-0.2.6-r2.apk
2024-10-25 20:11
313K
virtualgl-doc-3.1.3-r0.apk
2025-04-21 21:46
314K
trigger-rally-0.6.7-r3.apk
2025-03-25 15:24
315K
pypykatz-0.6.11-r1.apk
2025-05-29 12:07
315K
font-fantasque-sans-normal-1.8.0-r0.apk
2024-10-25 20:11
316K
font-fantasque-sans-largelineheight-1.8.0-r0.apk
2024-10-25 20:11
316K
font-fantasque-sans-noloopk-1.8.0-r0.apk
2024-10-25 20:11
316K
font-fantasque-sans-largelineheightnoloopk-1.8.0-r0.apk
2024-10-25 20:11
316K
dnssec-tools-doc-2.2.3-r13.apk
2025-07-01 19:19
317K
ocaml-ocf-dev-0.8.0-r3.apk
2024-10-25 20:12
318K
commoncpp-7.0.1-r1.apk
2024-10-25 20:11
318K
welle-cli-2.7-r0.apk
2025-04-07 18:03
320K
ocaml-gen-1.1-r1.apk
2024-10-25 20:12
320K
libguestfs-1.56.1-r0.apk
2025-07-22 22:05
320K
nfcd-1.2.2-r0.apk
2025-05-08 12:15
320K
ocaml-qtest-2.11.2-r3.apk
2024-10-25 20:12
321K
monetdb-doc-11.33.11-r4.apk
2024-10-25 20:11
321K
py3-pymupdf-1.25.5-r0.apk
2025-06-10 18:53
322K
rizin-dev-0.8.1-r0.apk
2025-07-01 19:19
323K
freediameter-extensions-1.5.0-r1.apk
2024-10-25 20:11
323K
lizardfs-chunkserver-3.13.0-r17.apk
2025-06-19 08:56
323K
openwsman-libs-2.8.1-r1.apk
2025-07-01 19:19
323K
perl-html-formhandler-doc-0.40068-r0.apk
2025-05-01 06:39
323K
spin-6.5.2-r1.apk
2024-10-25 20:12
324K
klong-20221212-r0.apk
2025-06-07 15:16
325K
libretro-beetle-pcfx-0_git20220409-r0.apk
2024-10-25 20:11
326K
biometryd-0.3.1-r7.apk
2025-02-17 09:34
327K
morph-browser-lang-1.1.2-r0.apk
2025-01-26 00:12
327K
spread-sheet-widget-dev-0.10-r0.apk
2024-10-25 20:12
327K
ocaml-omod-0.0.3-r3.apk
2024-10-25 20:12
327K
foma-0.10.0_git20240712-r0.apk
2024-10-25 20:11
329K
pw-volume-0.5.0-r1.apk
2024-10-25 20:12
329K
csmith-2.3.0-r2.apk
2024-10-25 20:11
330K
py3-msldap-pyc-0.5.15-r1.apk
2025-05-29 12:07
330K
bees-0.10-r2.apk
2024-10-25 20:10
330K
habitctl-0.1.0-r2.apk
2024-10-25 20:11
332K
py3-eventlet-0.38.1-r0.apk
2024-12-11 21:35
332K
tuned-pyc-2.25.1-r2.apk
2025-05-28 10:42
333K
perl-snmp-info-3.972002-r0.apk
2024-10-30 06:00
333K
quickjs-ng-libs-0.10.1-r0.apk
2025-05-16 17:24
334K
diceware-1.0.1-r0.apk
2025-01-13 22:49
334K
libsemigroups-dev-2.7.3-r1.apk
2024-12-14 19:46
335K
ocaml-sexplib0-dev-0.16.0-r0.apk
2024-10-25 20:12
335K
py3-dateparser-pyc-1.2.2-r0.apk
2025-07-06 19:46
335K
py3-eventlet-pyc-0.38.1-r0.apk
2024-12-11 21:35
336K
lxqt-wayland-session-0.2.0-r0.apk
2025-05-24 22:17
336K
libsigrokdecode-0.5.3-r4.apk
2024-10-25 20:11
337K
litehtml-0.9-r2.apk
2025-02-17 09:34
338K
php81-pear-8.1.33-r0.apk
2025-07-02 22:19
338K
php85-pear-8.5.0_alpha2-r0.apk
2025-07-16 04:02
338K
timoni-doc-0.23.0-r6.apk
2025-07-10 02:27
338K
coxeter-libs-3.0-r1.apk
2024-10-25 20:11
338K
projectm-sdl-3.1.12-r2.apk
2024-10-25 20:12
339K
libspatialindex-2.1.0-r0.apk
2025-05-31 10:52
339K
sigma-pyc-0.23.1-r1.apk
2024-10-25 20:12
340K
ircd-hybrid-8.2.47-r0.apk
2025-04-05 04:01
340K
ocaml-angstrom-dev-0.16.0-r0.apk
2024-10-25 20:11
340K
fileshelter-6.2.0-r3.apk
2025-02-25 06:36
341K
gnome-user-share-48.1-r0.apk
2025-07-01 19:19
342K
py3-rns-0.9.6-r0.apk
2025-05-27 21:32
344K
ocaml-bos-dev-0.2.1-r2.apk
2024-10-25 20:11
345K
ocaml-lambdasoup-dev-0.7.3-r2.apk
2024-10-25 20:12
345K
fflas-ffpack-2.5.0-r3.apk
2024-10-25 20:11
345K
gkrellm-2.3.11-r0.apk
2025-01-08 22:36
346K
wlroots0.16-0.16.2-r0.apk
2024-10-28 13:47
348K
py3-netmiko-pyc-4.5.0-r0.apk
2025-02-13 07:33
348K
perl-html-object-0.5.1-r0.apk
2024-10-25 20:12
348K
pympress-doc-1.8.5-r1.apk
2024-10-25 20:12
348K
cutechess-cli-1.3.1-r0.apk
2024-10-25 20:11
349K
rclone-browser-1.8.0-r1.apk
2024-10-25 20:12
349K
ocaml-utop-2.9.1-r4.apk
2024-10-25 20:12
349K
lomiri-filemanager-app-1.0.4-r1.apk
2025-03-13 06:06
350K
php81-opcache-8.1.33-r0.apk
2025-07-02 22:19
350K
xml2rfc-3.28.1-r0.apk
2025-04-18 11:01
352K
py3-ly-pyc-0.9.9-r0.apk
2025-07-12 21:56
352K
checkpolicy-3.6-r0.apk
2024-10-25 20:11
354K
vile-common-9.8z_p1-r1.apk
2025-07-01 19:19
355K
perl-dbix-class-0.082844-r0.apk
2025-01-16 17:27
355K
lomiri-app-launch-0.1.12-r1.apk
2025-06-28 18:16
356K
libtins-4.5-r1.apk
2024-10-25 20:11
357K
diskus-0.8.0-r0.apk
2025-05-18 22:15
357K
vile-doc-9.8z_p1-r1.apk
2025-07-01 19:19
357K
font-aref-ruqaa-1.006-r0.apk
2025-04-12 12:25
357K
dum-0.1.20-r1.apk
2025-03-29 15:58
358K
py3-ovos-lingua-franca-0.4.8_alpha3-r2.apk
2024-10-25 20:12
358K
planner-0.14.92-r1.apk
2024-12-08 21:39
358K
hamster-time-tracker-pyc-3.0.3-r2.apk
2024-10-25 20:11
358K
py3-flask-admin-pyc-1.6.1-r3.apk
2024-10-25 20:12
358K
lsmash-dev-2.14.5-r2.apk
2024-10-25 20:11
359K
singular-dev-4.4.1_p2-r0.apk
2025-07-02 17:19
359K
py3-pycaption-2.2.15-r0.apk
2024-10-25 20:12
360K
sndfile-tools-doc-1.5-r1.apk
2024-10-25 20:12
361K
pyinfra-pyc-3.3.1-r0.apk
2025-07-16 00:27
361K
barman-3.14.1-r0.apk
2025-06-25 14:18
361K
epic6-0_git20250630-r0.apk
2025-07-21 08:40
361K
libretro-beetle-pce-fast-0_git20220205-r0.apk
2024-10-25 20:11
363K
ocaml-biniou-dev-1.2.1-r5.apk
2024-10-25 20:11
364K
jackdaw-pyc-0.3.1-r2.apk
2025-05-29 12:07
364K
moosefs-master-4.56.6-r2.apk
2025-06-19 08:56
365K
bartib-1.0.1-r1.apk
2024-10-25 20:10
365K
bkt-0.8.0-r0.apk
2024-10-25 20:11
365K
perl-power-tools-1.052-r0.apk
2025-07-21 08:40
366K
py3-django-suit-0.2.28-r8.apk
2024-10-25 20:12
366K
libuninameslist-20230916-r0.apk
2024-10-25 20:11
368K
schismtracker-20231029-r0.apk
2024-10-25 20:12
368K
gnome-latex-3.48.0-r0.apk
2025-04-07 21:29
368K
py3-bleak-0.22.3-r0.apk
2024-10-25 20:12
370K
toml2json-1.3.1-r0.apk
2024-10-25 20:12
370K
libvmaf-3.0.0-r0.apk
2024-10-25 20:11
371K
py3-igraph-pyc-0.11.9-r0.apk
2025-06-11 20:47
371K
code-minimap-0.6.7-r0.apk
2024-12-12 19:38
371K
wcm-0.9.0-r0.apk
2025-02-27 20:18
372K
modem-manager-gui-0.0.20-r0.apk
2024-10-25 20:11
373K
3proxy-0.9.4-r2.apk
2025-04-04 05:40
373K
advancemame-doc-3.9-r4.apk
2024-10-25 20:10
374K
libntl-doc-11.5.1-r4.apk
2024-10-25 20:11
374K
lomiri-calculator-app-4.0.2-r1.apk
2025-03-13 06:06
374K
perl-catalyst-manual-doc-5.9013-r0.apk
2025-04-04 05:22
375K
libretro-beetle-supergrafx-0_git20220218-r0.apk
2024-10-25 20:11
376K
torrent-file-editor-0.3.18-r0.apk
2024-10-25 20:12
376K
perl-snmp-info-doc-3.972002-r0.apk
2024-10-30 06:00
379K
gkrellm-lang-2.3.11-r0.apk
2025-01-08 22:36
379K
freshrss-lang-1.23.1-r1.apk
2024-10-25 20:11
379K
e16-lang-1.0.30-r0.apk
2024-11-05 13:22
380K
ocaml-parsexp-0.16.0-r0.apk
2024-10-25 20:12
380K
py3-hfst-3.16.2-r0.apk
2025-03-29 15:58
382K
py3-slixmpp-1.8.5-r2.apk
2024-10-25 20:12
383K
ocaml-xml-light-dev-2.5-r0.apk
2024-10-25 20:12
383K
php81-fileinfo-8.1.33-r0.apk
2025-07-02 22:19
384K
exabgp-4.2.24-r0.apk
2025-03-15 22:11
385K
lomiri-history-service-0.6-r8.apk
2025-07-03 15:27
385K
lgogdownloader-3.16-r1.apk
2025-03-25 15:24
386K
py3-pacparser-1.4.5-r1.apk
2024-10-25 20:12
386K
mediastreamer2-5.3.100-r1.apk
2025-06-01 16:07
387K
py3-aioxmpp-0.13.3-r3.apk
2024-10-25 20:12
388K
video-trimmer-25.03-r0.apk
2025-07-01 19:19
388K
grip-4.2.4-r0.apk
2024-10-25 20:11
388K
wlroots0.17-0.17.4-r1.apk
2024-11-23 09:00
390K
py3-xsdata-pyc-25.7-r0.apk
2025-07-07 20:47
393K
mkosi-pyc-25.3-r1.apk
2025-07-15 17:31
393K
ocaml-ca-certs-nss-3.89.1-r1.apk
2024-10-25 20:11
395K
atlantik-3.5.10_git20240323-r0.apk
2024-10-25 20:10
396K
htslib-1.19-r0.apk
2024-10-25 20:11
397K
ocaml-topkg-dev-1.0.5-r2.apk
2024-10-25 20:12
398K
perl-devel-nytprof-6.14-r1.apk
2025-07-01 19:19
401K
py3-b2sdk-pyc-2.8.1-r0.apk
2025-05-03 08:44
403K
desed-1.2.1-r1.apk
2024-10-25 20:11
403K
gdcm-3.0.24-r1.apk
2025-07-20 10:48
404K
simgear-dev-2024.1.1-r0.apk
2025-03-05 00:14
404K
py3-igraph-0.11.9-r0.apk
2025-06-11 20:47
405K
musikcube-plugin-server-3.0.4-r1.apk
2025-01-26 19:50
406K
xml2rfc-pyc-3.28.1-r0.apk
2025-04-18 11:01
407K
kew-3.4.0-r0.apk
2025-07-21 06:41
408K
vkbasalt-0.3.2.10-r0.apk
2024-10-25 20:13
408K
knxd-0.14.61-r1.apk
2024-12-14 19:46
408K
libretro-tyrquake-0_git20220409-r0.apk
2024-10-25 20:11
409K
gloox-1.0.28-r0.apk
2024-10-25 20:11
410K
startup-2.0.3-r5.apk
2024-10-25 20:12
411K
appcenter-8.0.0-r0.apk
2024-11-12 21:55
411K
lua5.1-luacov-html-1.0.0-r1.apk
2024-10-25 20:11
413K
lua5.2-luacov-html-1.0.0-r1.apk
2024-10-25 20:11
413K
lua5.3-luacov-html-1.0.0-r1.apk
2024-10-25 20:11
413K
openvpn3-3.8.5-r1.apk
2025-02-17 09:34
413K
drumgizmo-0.9.20-r1.apk
2024-10-25 20:11
413K
mm-common-1.0.7-r0.apk
2025-06-27 16:39
414K
aws-lc-dev-1.56.0-r0.apk
2025-07-23 08:14
418K
noblenote-1.2.1-r1.apk
2024-10-25 20:11
418K
reaver-wps-fork-t6x-1.6.6-r1.apk
2024-10-25 20:12
419K
gaupol-pyc-1.12-r2.apk
2024-10-25 20:11
419K
perl-dbix-class-doc-0.082844-r0.apk
2025-01-16 17:27
421K
visidata-3.2-r0.apk
2025-06-20 11:40
421K
birdtray-1.9.0-r1.apk
2024-10-25 20:11
421K
welle-io-2.7-r0.apk
2025-04-07 18:03
422K
esptool-4.8.1-r0.apk
2024-10-25 20:11
424K
py3-owslib-pyc-0.34.1-r0.apk
2025-07-13 19:28
425K
lumina-desktop-fm-1.6.2-r0.apk
2024-10-25 20:11
426K
emacs-lsp-booster-0.2.1-r0.apk
2025-04-12 12:21
428K
ocaml-fix-dev-20220121-r2.apk
2024-10-25 20:12
430K
ocaml-uuidm-tools-0.9.8-r2.apk
2024-10-25 20:12
430K
font-stix-ttf-2.13-r0.apk
2024-10-25 20:11
430K
ocaml-jsonm-tools-1.0.2-r0.apk
2024-10-25 20:12
432K
zycore-doc-1.5.0-r1.apk
2025-07-11 04:50
433K
hyfetch-1.99.0-r1.apk
2024-10-25 20:11
433K
ocaml-cairo2-dev-0.6.2-r2.apk
2024-10-25 20:11
434K
projectm-pulseaudio-3.1.12-r2.apk
2024-10-25 20:12
434K
qtile-0.30.0-r0.apk
2025-02-10 21:36
436K
py3-ovos-lingua-franca-pyc-0.4.8_alpha3-r2.apk
2024-10-25 20:12
437K
lcalc-doc-2.1.0-r0.apk
2025-05-03 08:30
437K
ocaml-otoml-1.0.5-r0.apk
2024-10-25 20:12
437K
corosync-dev-3.1.9-r0.apk
2025-05-29 03:16
438K
qtpass-1.4.0-r0.apk
2024-10-25 20:12
438K
nitrocli-0.4.1-r3.apk
2024-10-25 20:11
438K
spice-html5-0.3.0-r1.apk
2024-10-25 20:12
438K
komikku-1.81.0-r0.apk
2025-07-10 20:33
439K
syncthing-gtk-0.9.4.5-r2.apk
2024-10-25 20:12
440K
xsane-lang-0.999-r2.apk
2024-10-25 20:13
440K
lol-html-1.1.1-r1.apk
2024-10-25 20:11
444K
libandroidfw-0_git20250325-r2.apk
2025-07-15 17:27
445K
netdiscover-0.20-r0.apk
2025-05-17 21:48
446K
py3-aiohttp-debugtoolbar-0.6.1-r2.apk
2024-10-25 20:12
446K
libqofono-qt6-0.124-r0.apk
2025-01-10 12:38
446K
simp1e-cursors-0_git20250312-r0.apk
2025-03-13 12:28
447K
ocaml-ca-certs-nss-dev-3.89.1-r1.apk
2024-10-25 20:11
447K
ocaml-metrics-dev-0.4.0-r3.apk
2024-10-25 20:12
448K
cargo-run-bin-1.7.2-r0.apk
2024-10-25 20:11
448K
batmon-0.0.1-r0.apk
2024-10-25 20:10
448K
simp1e-cursors-left-0_git20250312-r0.apk
2025-03-13 12:28
449K
py3-flask-bootstrap-3.3.7.1-r9.apk
2025-05-12 21:41
449K
libguestfs-static-1.56.1-r0.apk
2025-07-22 22:05
449K
gdcm-dev-3.0.24-r1.apk
2025-07-20 10:48
449K
simp1e-cursors-mix-light-0_git20250312-r0.apk
2025-03-13 12:28
450K
neko-2.3.0-r0.apk
2024-11-20 22:26
450K
ocaml-ocp-index-1.3.6-r0.apk
2024-10-25 20:12
451K
gnome-metronome-1.3.0-r0.apk
2024-10-25 20:11
451K
simp1e-cursors-mix-light-left-0_git20250312-r0.apk
2025-03-13 12:28
451K
lout-doc-3.42.2-r0.apk
2024-10-25 20:11
453K
pypy-tkinter-7.3.12-r0.apk
2024-10-25 20:12
453K
diskonaut-0.11.0-r3.apk
2024-10-25 20:11
454K
py3-telegram-bot-21.10-r0.apk
2025-02-17 09:34
454K
ocaml-bos-0.2.1-r2.apk
2024-10-25 20:11
455K
lomiri-clock-app-lang-4.1.1-r0.apk
2025-05-09 17:35
456K
ocaml-alcotest-1.5.0-r4.apk
2024-10-25 20:11
457K
cargo-vendor-filterer-0.5.9-r1.apk
2024-10-25 20:11
458K
qsynth-1.0.2-r0.apk
2024-10-25 20:12
460K
botan2-2.19.5-r0.apk
2025-05-28 21:40
461K
projectm-3.1.12-r2.apk
2024-10-25 20:12
462K
ocaml-cmdliner-1.1.1-r3.apk
2024-10-25 20:12
462K
featherpad-lang-1.5.1-r0.apk
2024-10-25 20:11
463K
opensm-3.3.24-r2.apk
2024-10-25 20:12
465K
qstardict-2.0.2-r1.apk
2024-11-24 03:04
465K
megazeux-doc-2.93d-r0.apk
2025-06-10 13:49
465K
svgbob-0.7.6-r0.apk
2025-02-01 19:51
467K
libre-dev-3.23.0-r0.apk
2025-06-27 07:14
468K
motion-lang-4.7.0-r0.apk
2024-10-25 20:11
471K
perl-html-object-doc-0.5.1-r0.apk
2024-10-25 20:12
472K
timeshift-24.06.6-r0.apk
2025-04-23 22:52
473K
trace-cmd-dbg-3.3.1-r1.apk
2025-01-19 11:55
473K
kimchi-pyc-3.0.0-r8.apk
2025-02-10 22:47
476K
vcdimager-2.0.1-r5.apk
2025-01-22 10:33
477K
simp1e-cursors-adw-0_git20250312-r0.apk
2025-03-13 12:28
478K
uucp-1.07-r6.apk
2024-10-25 20:13
479K
paged-markdown-3-pdf-0.1.3-r0.apk
2025-05-28 14:24
482K
simp1e-cursors-adw-dark-0_git20250312-r0.apk
2025-03-13 12:28
482K
py3-scrapy-pyc-2.11.1-r1.apk
2024-10-25 20:12
482K
ocaml-sexplib-0.16.0-r0.apk
2024-10-25 20:12
483K
simp1e-cursors-adw-left-0_git20250312-r0.apk
2025-03-13 12:28
483K
logwatch-7.10-r1.apk
2024-10-25 20:11
483K
simp1e-cursors-dark-0_git20250312-r0.apk
2025-03-13 12:28
484K
htslib-static-1.19-r0.apk
2024-10-25 20:11
484K
simp1e-cursors-adw-dark-left-0_git20250312-r0.apk
2025-03-13 12:28
485K
mapnik-dev-4.0.6-r1.apk
2025-06-10 12:01
486K
ocaml-lwd-0.3-r0.apk
2024-10-25 20:12
486K
simp1e-cursors-mix-dark-0_git20250312-r0.apk
2025-03-13 12:28
487K
9base-troff-6-r2.apk
2024-10-25 20:10
487K
cln-1.3.7-r1.apk
2025-05-25 07:51
487K
llvm21-test-utils-21.1.0_rc1-r1.apk
2025-07-22 13:51
487K
simp1e-cursors-dark-left-0_git20250312-r0.apk
2025-03-13 12:28
490K
mint-x-theme-gtk2-2.1.1-r0.apk
2024-10-25 20:11
490K
simp1e-cursors-mix-dark-left-0_git20250312-r0.apk
2025-03-13 12:28
492K
supermin-5.2.2-r2.apk
2024-10-25 20:12
493K
quakespasm-0.96.3-r0.apk
2024-10-25 20:12
494K
mimalloc1-dev-1.9.3-r1.apk
2025-05-18 16:00
494K
libantlr4-4.13.2-r0.apk
2024-12-12 11:20
496K
getting-things-gnome-doc-0.6-r4.apk
2024-12-08 21:39
497K
py3-openwisp-utils-1.0.4-r4.apk
2024-10-25 20:12
498K
meep-dev-1.30.0-r1.apk
2025-07-04 22:17
500K
libretro-picodrive-0_git20220405-r0.apk
2024-10-25 20:11
500K
py3-litex-hub-pythondata-cpu-cva5-2024.04-r0.apk
2024-10-25 20:12
500K
libretro-openlara-0_git20210121-r0.apk
2024-10-25 20:11
501K
py3-pyinstaller-pyc-6.6.0-r0.apk
2024-10-25 20:12
502K
materia-dark-kde-plasma-20220823-r0.apk
2024-10-25 20:11
503K
py3-pymupdf-pyc-1.25.5-r0.apk
2025-06-10 18:53
503K
scooper-1.3-r1.apk
2024-10-25 20:12
504K
py3-imageio-pyc-2.37.0-r0.apk
2025-07-11 22:13
504K
simp1e-cursors-breeze-0_git20250312-r0.apk
2025-03-13 12:28
504K
opentelemetry-cpp-dev-1.22.0-r0.apk
2025-07-16 11:25
505K
php85-fileinfo-8.5.0_alpha2-r0.apk
2025-07-16 04:02
506K
libsigrok-0.5.2-r3.apk
2024-10-25 20:11
506K
libretro-yabause-0_git20210411-r0.apk
2024-10-25 20:11
506K
font-cascadia-mono-2407.24-r1.apk
2025-05-27 14:41
507K
simp1e-cursors-breeze-left-0_git20250312-r0.apk
2025-03-13 12:28
509K
gr-satellites-5.5.0-r5.apk
2025-06-08 16:33
510K
mint-x-theme-gtk4-2.1.1-r0.apk
2024-10-25 20:11
510K
cdist-7.0.0-r6.apk
2024-10-25 20:11
511K
gstreamermm-1.10.0-r6.apk
2025-02-17 09:34
511K
litehtml-static-0.9-r2.apk
2025-02-17 09:34
511K
ovn-doc-24.03.1-r0.apk
2024-10-25 20:12
512K
ocaml-zed-3.1.0-r3.apk
2024-10-25 20:12
512K
plots-0.7.0-r1.apk
2024-11-06 09:41
516K
simp1e-cursors-gruvbox-light-0_git20250312-r0.apk
2025-03-13 12:28
517K
simp1e-cursors-nord-light-0_git20250312-r0.apk
2025-03-13 12:28
518K
ocaml-otr-dev-0.3.10-r2.apk
2024-10-25 20:12
519K
libretro-neocd-0_git20220325-r1.apk
2024-10-25 20:11
520K
simp1e-cursors-tokyo-night-light-0_git20250312-r0.apk
2025-03-13 12:28
520K
simp1e-cursors-breeze-dark-0_git20250312-r0.apk
2025-03-13 12:28
520K
ocaml-re-1.11.0-r1.apk
2024-10-25 20:12
522K
simp1e-cursors-solarized-dark-0_git20250312-r0.apk
2025-03-13 12:28
524K
simp1e-cursors-nord-light-left-0_git20250312-r0.apk
2025-03-13 12:28
525K
simp1e-cursors-gruvbox-dark-0_git20250312-r0.apk
2025-03-13 12:28
525K
font-cascadia-code-2407.24-r1.apk
2025-05-27 14:41
526K
simp1e-cursors-breeze-dark-left-0_git20250312-r0.apk
2025-03-13 12:28
526K
py3-apsw-pyc-3.49.1.0-r0.apk
2025-02-25 06:29
526K
simp1e-cursors-gruvbox-light-left-0_git20250312-r0.apk
2025-03-13 12:28
527K
simp1e-cursors-tokyo-night-light-left-0_git20250312-r0.apk
2025-03-13 12:28
527K
qflipper-1.3.3-r1.apk
2024-10-25 20:12
527K
simp1e-cursors-catppuccin-latte-0_git20250312-r0.apk
2025-03-13 12:28
528K
httrack-doc-3.49.2-r5.apk
2024-10-25 20:11
528K
kimchi-3.0.0-r8.apk
2025-02-10 22:47
529K
typstyle-0.12.14-r0.apk
2025-01-12 13:44
529K
simp1e-cursors-zenburn-0_git20250312-r0.apk
2025-03-13 12:28
529K
ocaml-ocp-index-dev-1.3.6-r0.apk
2024-10-25 20:12
529K
ocaml-ounit-2.2.7-r3.apk
2024-10-25 20:12
530K
simp1e-cursors-catppuccin-mocha-0_git20250312-r0.apk
2025-03-13 12:28
530K
simp1e-cursors-tokyo-night-0_git20250312-r0.apk
2025-03-13 12:28
530K
gnome-latex-lang-3.48.0-r0.apk
2025-04-07 21:29
530K
ocaml-ocp-indent-1.8.2-r2.apk
2024-10-25 20:12
531K
simp1e-cursors-solarized-light-0_git20250312-r0.apk
2025-03-13 12:28
531K
riemann-cli-0.8.0-r2.apk
2024-10-25 20:12
532K
simp1e-cursors-catppuccin-macchiato-0_git20250312-r0.apk
2025-03-13 12:28
533K
aspell-es-1.11-r0.apk
2024-10-25 20:10
533K
simp1e-cursors-rose-pine-0_git20250312-r0.apk
2025-03-13 12:28
533K
simp1e-cursors-tokyo-night-storm-0_git20250312-r0.apk
2025-03-13 12:28
534K
simp1e-cursors-solarized-dark-left-0_git20250312-r0.apk
2025-03-13 12:28
535K
simp1e-cursors-catppuccin-frappe-0_git20250312-r0.apk
2025-03-13 12:28
535K
simp1e-cursors-nord-dark-0_git20250312-r0.apk
2025-03-13 12:28
536K
nitro-2.7_beta8-r2.apk
2024-10-25 20:11
536K
faust-static-2.79.3-r0.apk
2025-06-07 17:04
536K
simp1e-cursors-gruvbox-dark-left-0_git20250312-r0.apk
2025-03-13 12:28
537K
i3bar-river-1.1.0-r0.apk
2025-03-14 14:05
537K
simp1e-cursors-catppuccin-latte-left-0_git20250312-r0.apk
2025-03-13 12:28
537K
font-terminus-ttf-4.49.3-r0.apk
2025-07-11 19:54
538K
simp1e-cursors-rose-pine-moon-0_git20250312-r0.apk
2025-03-13 12:28
538K
mkdocs-bootswatch-1.1-r5.apk
2024-10-25 20:11
538K
simp1e-cursors-rose-pine-dawn-0_git20250312-r0.apk
2025-03-13 12:28
538K
mapserver-dev-8.4.0-r2.apk
2025-06-10 12:01
540K
simp1e-cursors-catppuccin-mocha-left-0_git20250312-r0.apk
2025-03-13 12:28
540K
simp1e-cursors-zenburn-left-0_git20250312-r0.apk
2025-03-13 12:28
540K
php85-opcache-8.5.0_alpha2-r0.apk
2025-07-16 04:02
541K
simp1e-cursors-tokyo-night-left-0_git20250312-r0.apk
2025-03-13 12:28
541K
simp1e-cursors-solarized-light-left-0_git20250312-r0.apk
2025-03-13 12:28
542K
ocaml-conduit-dev-6.1.0-r0.apk
2024-10-25 20:12
542K
ocaml-xmlm-1.4.0-r2.apk
2024-10-25 20:12
544K
simp1e-cursors-catppuccin-macchiato-left-0_git20250312-r0.apk
2025-03-13 12:28
544K
ocaml-bisect_ppx-dev-2.8.3-r0.apk
2024-10-25 20:11
545K
simp1e-cursors-rose-pine-left-0_git20250312-r0.apk
2025-03-13 12:28
545K
simp1e-cursors-tokyo-night-storm-left-0_git20250312-r0.apk
2025-03-13 12:28
546K
perl-lingua-en-tagger-0.31-r0.apk
2025-06-08 16:33
546K
amber-0.4.0-r0.apk
2025-06-19 00:09
546K
libretro-pcsx-rearmed-0_git20220409-r0.apk
2024-10-25 20:11
546K
qtmir-0.7.2_git20250407-r1.apk
2025-06-28 18:16
547K
simp1e-cursors-catppuccin-frappe-left-0_git20250312-r0.apk
2025-03-13 12:28
547K
simp1e-cursors-nord-dark-left-0_git20250312-r0.apk
2025-03-13 12:28
549K
parse-changelog-0.6.12-r0.apk
2025-05-18 22:18
549K
ocaml-tsdl-dev-1.0.0-r0.apk
2024-10-25 20:12
549K
esptool-pyc-4.8.1-r0.apk
2024-10-25 20:11
549K
simp1e-cursors-rose-pine-moon-left-0_git20250312-r0.apk
2025-03-13 12:28
550K
py3-ovos-ocp-audio-plugin-0.0.6_alpha2-r3.apk
2024-10-25 20:12
550K
perl-imager-1.028-r1.apk
2025-07-01 19:19
550K
simp1e-cursors-rose-pine-dawn-left-0_git20250312-r0.apk
2025-03-13 12:28
551K
ocaml-biniou-1.2.1-r5.apk
2024-10-25 20:11
552K
platformio-core-pyc-6.1.7-r3.apk
2024-10-25 20:12
552K
ocaml-ipaddr-dev-5.3.1-r2.apk
2024-10-25 20:12
556K
log4cxx-1.1.0-r3.apk
2025-02-17 09:34
556K
cpdf-doc-2.8.1-r0.apk
2025-05-08 12:15
558K
py3-cassandra-driver-pyc-3.29.2-r0.apk
2024-10-25 20:12
560K
gnu-apl-dev-1.9-r0.apk
2024-10-25 20:11
560K
ocaml-ppx_sexp_conv-0.16.0-r0.apk
2024-10-25 20:12
560K
ettercap-0.8.3.1-r3.apk
2024-10-25 20:11
561K
volatility3-2.11.0-r0.apk
2025-02-13 07:45
563K
pypy3-dev-7.3.12-r0.apk
2024-10-25 20:12
565K
bore-0.5.2-r0.apk
2024-12-15 19:26
566K
rygel-lang-0.44.2-r0.apk
2025-03-19 12:55
567K
font-tiresias-0_git20200704-r0.apk
2024-10-25 20:11
568K
libguestfs-doc-1.56.1-r0.apk
2025-07-22 22:05
569K
wl-screenrec-0.1.7-r0.apk
2025-04-12 21:20
569K
ocaml-bitstring-dev-4.1.0-r3.apk
2024-10-25 20:11
570K
jrsonnet-cli-0.4.2-r1.apk
2024-10-25 20:11
571K
perl-libintl-perl-doc-1.35-r0.apk
2025-01-16 13:35
571K
barman-pyc-3.14.1-r0.apk
2025-06-25 14:18
572K
ocaml-asn1-combinators-dev-0.2.6-r2.apk
2024-10-25 20:11
572K
morph-browser-1.1.2-r0.apk
2025-01-26 00:12
573K
ocaml-erm_xml-0_git20211229-r2.apk
2024-10-25 20:12
574K
php81-mbstring-8.1.33-r0.apk
2025-07-02 22:19
575K
orage-4.20.1-r0.apk
2025-04-08 11:49
576K
perl-alien-libgumbo-0.05-r1.apk
2025-07-01 19:19
578K
ocaml-down-0.1.0-r3.apk
2024-10-25 20:12
584K
xonsh-0.19.1-r0.apk
2025-02-05 22:20
585K
boinc-dev-7.24.3-r0.apk
2024-10-25 20:11
590K
wayfire-plugins-extra-0.9.0-r0.apk
2025-02-27 20:18
592K
turn-rs-3.4.0-r1.apk
2025-06-12 14:06
594K
uranium-5.2.2-r3.apk
2024-10-25 20:13
596K
py3-textual-3.2.0-r0.apk
2025-05-14 09:45
596K
gufw-24.04-r3.apk
2024-11-19 21:42
596K
py3-aiosmb-0.4.11-r1.apk
2025-05-29 12:07
597K
ocaml-fileutils-dev-0.6.4-r2.apk
2024-10-25 20:12
598K
ocaml-amqp-client-2.3.0-r0.apk
2024-10-25 20:11
598K
llvm21-gtest-21.1.0_rc1-r1.apk
2025-07-22 13:51
602K
marxan-4.0.7-r1.apk
2024-10-25 20:11
602K
rpg-cli-1.2.0-r0.apk
2024-10-25 20:12
603K
mint-x-theme-gtk3-2.1.1-r0.apk
2024-10-25 20:11
603K
ocaml-topkg-1.0.5-r2.apk
2024-10-25 20:12
604K
projectm-dev-3.1.12-r2.apk
2024-10-25 20:12
605K
ocaml-uutf-1.0.3-r2.apk
2024-10-25 20:12
605K
ocaml-gen-dev-1.1-r1.apk
2024-10-25 20:12
608K
ocaml-notty-dev-0.2.3-r0.apk
2024-10-25 20:12
610K
ttyper-1.6.0-r0.apk
2025-02-05 21:47
610K
ocaml-menhir-doc-20220210-r3.apk
2024-12-08 16:20
610K
libretro-snes9x-0_git20240819-r0.apk
2024-10-25 20:11
613K
libretro-daphne-0_git20210108-r2.apk
2024-10-25 20:11
617K
moosefs-static-4.56.6-r2.apk
2025-06-19 08:56
618K
postgresql-pg_later-0.0.14-r1.apk
2024-10-25 20:12
622K
font-chivo-mono-0_git20221110-r0.apk
2024-10-25 20:11
626K
nsh-0.4.2-r1.apk
2024-10-25 20:11
627K
ocaml-extlib-1.7.9-r2.apk
2024-10-25 20:12
629K
eva-0.3.1-r2.apk
2024-10-25 20:11
629K
moosefs-client-4.56.6-r2.apk
2025-06-19 08:56
632K
opendht-libs-3.1.11-r0.apk
2025-01-27 20:56
633K
bash-pinyin-completion-rs-0.2.3-r0.apk
2025-04-30 17:49
634K
ocaml-ocp-indent-dev-1.8.2-r2.apk
2024-10-25 20:12
638K
belle-sip-5.3.105-r0.apk
2025-02-25 12:51
639K
lomiri-download-manager-0.2.1-r0.apk
2025-04-13 23:14
641K
java-gdcm-3.0.24-r1.apk
2025-07-20 10:48
644K
mkdocs-gitbook-0.0.1-r5.apk
2024-10-25 20:11
644K
bonzomatic-20230615-r0.apk
2024-10-25 20:11
649K
php85-mbstring-8.5.0_alpha2-r0.apk
2025-07-16 04:02
650K
opentelemetry-cpp-1.22.0-r0.apk
2025-07-16 11:25
651K
mkdocs-cluster-0.0.9-r5.apk
2024-10-25 20:11
651K
font-material-icons-4.0.0-r0.apk
2024-10-25 20:11
652K
mint-y-theme-gtk2-2.1.1-r0.apk
2024-10-25 20:11
656K
ocaml-qcheck-0.18.1-r3.apk
2024-10-25 20:12
657K
lomiri-indicator-network-1.1.1-r0.apk
2025-05-09 17:35
659K
meep-1.30.0-r1.apk
2025-07-04 22:17
662K
ocaml-higlo-dev-0.9-r0.apk
2024-10-25 20:12
663K
ocaml-cohttp-5.3.1-r0.apk
2024-10-25 20:12
664K
lumins-0.4.0-r2.apk
2024-10-25 20:11
667K
openvpn3-dev-3.8.5-r1.apk
2025-02-17 09:34
667K
oils-for-unix-0.34.0-r0.apk
2025-07-18 20:17
670K
codec2-1.2.0-r0.apk
2025-05-25 22:24
670K
paperde-0.2.1-r2.apk
2024-10-25 20:12
672K
py3-beartype-pyc-0.21.0-r0.apk
2025-05-25 13:47
673K
py3-aioxmpp-pyc-0.13.3-r3.apk
2024-10-25 20:12
673K
firehol-doc-3.1.7-r2.apk
2024-10-25 20:11
675K
py3-litex-hub-pythondata-cpu-serv-2024.04-r0.apk
2024-10-25 20:12
675K
font-monocraft-4.0-r0.apk
2024-10-25 20:11
677K
pitivi-lang-2023.03-r2.apk
2024-12-22 22:04
678K
perl-gtk2-doc-1.24993-r7.apk
2025-07-01 19:19
679K
py3-cvxpy-1.2.1-r5.apk
2024-10-25 20:12
680K
felix-2.16.1-r0.apk
2025-05-16 08:34
684K
libretro-bluemsx-0_git20240808-r0.apk
2024-10-25 20:11
685K
kondo-0.8-r0.apk
2024-10-25 20:11
686K
apache2-mod-perl-2.0.13-r2.apk
2025-07-01 19:19
687K
py3-gdcm-3.0.24-r1.apk
2025-07-20 10:48
687K
raku-clifford-7.0.1_git20250228-r0.apk
2025-07-21 08:40
691K
ocaml-cstruct-dev-6.1.0-r3.apk
2024-10-25 20:12
700K
pitivi-pyc-2023.03-r2.apk
2024-12-22 22:04
700K
66-dbg-0.8.2.1-r0.apk
2025-06-03 19:11
701K
py3-rns-pyc-0.9.6-r0.apk
2025-05-27 21:32
704K
pacparser-1.4.5-r1.apk
2024-10-25 20:12
707K
castor-0.9.0-r2.apk
2024-10-25 20:11
711K
py3-litex-hub-pythondata-cpu-vexriscv-2024.04-r0.apk
2024-10-25 20:12
713K
nginx-ultimate-bad-bot-blocker-4.2023.10.4046-r1.apk
2024-10-25 20:11
713K
sudo-ldap-1.9.17_p1-r0.apk
2025-07-01 19:19
713K
getting-things-gnome-0.6-r4.apk
2024-12-08 21:39
715K
udpt-3.1.2-r0.apk
2024-10-25 20:13
716K
libretro-genesis-plus-gx-0_git20230503-r0.apk
2024-10-25 20:11
717K
clang21-21.1.0_rc1-r1.apk
2025-07-22 15:50
718K
rpi-imager-1.9.0-r0.apk
2024-10-25 20:12
718K
smile-2.10.1-r0.apk
2025-04-11 18:13
721K
pypykatz-pyc-0.6.11-r1.apk
2025-05-29 12:07
721K
tsung-1.8.0-r3.apk
2025-06-13 06:05
722K
py3-telegram-bot-pyc-21.10-r0.apk
2025-02-17 09:34
724K
ocaml-gettext-dev-0.4.2-r3.apk
2024-10-25 20:12
725K
ecasound-2.9.3-r4.apk
2025-02-24 21:15
729K
py3-slixmpp-pyc-1.8.5-r2.apk
2024-10-25 20:12
729K
hunspell-ca-es-3.0.7-r0.apk
2024-10-25 20:11
731K
agate-3.3.8-r0.apk
2024-10-25 20:10
734K
android-translation-layer-dbg-0_git20250402-r0.apk
2025-04-14 17:11
734K
mdbook-alerts-0.7.0-r0.apk
2025-01-11 12:08
741K
yaml-language-server-1.19.0-r1.apk
2025-07-15 05:51
743K
sshs-4.7.2-r0.apk
2025-04-23 22:52
743K
zsh-histdb-skim-0.8.6-r0.apk
2024-10-25 20:13
746K
dune-deps-1.3.0-r2.apk
2024-10-25 20:11
747K
prjtrellis-db-machxo3d-0_git20230929-r0.apk
2024-10-25 20:12
748K
httrack-3.49.2-r5.apk
2024-10-25 20:11
749K
responder-3.1.5.0-r0.apk
2024-10-25 20:12
750K
freshrss-doc-1.23.1-r1.apk
2024-10-25 20:11
751K
transito-doc-0.9.1-r7.apk
2025-07-10 02:27
755K
azure-iot-sdk-c-static-1.11.0-r0.apk
2024-10-25 20:10
756K
yaru-theme-purple-25.10.1-r0.apk
2025-07-13 20:19
757K
nicotine-plus-lang-3.3.10-r0.apk
2025-05-01 18:06
757K
libsemigroups-2.7.3-r1.apk
2024-12-14 19:46
757K
ocaml-iri-dev-1.0.0-r0.apk
2024-10-25 20:12
758K
rtw89-src-7_p20230725-r0.apk
2024-10-25 20:12
759K
yaru-theme-olive-25.10.1-r0.apk
2025-07-13 20:19
759K
yaru-theme-prussiangreen-25.10.1-r0.apk
2025-07-13 20:19
760K
yaru-theme-red-25.10.1-r0.apk
2025-07-13 20:19
760K
yaru-theme-viridian-25.10.1-r0.apk
2025-07-13 20:19
760K
maxima-doc-5.47.0-r9.apk
2025-07-07 21:33
760K
yaru-theme-magenta-25.10.1-r0.apk
2025-07-13 20:19
762K
dnssec-tools-2.2.3-r13.apk
2025-07-01 19:19
762K
ocaml-utop-dev-2.9.1-r4.apk
2024-10-25 20:12
763K
yaru-theme-bark-25.10.1-r0.apk
2025-07-13 20:19
763K
yaru-theme-sage-25.10.1-r0.apk
2025-07-13 20:19
763K
yaru-theme-blue-25.10.1-r0.apk
2025-07-13 20:19
766K
py3-livestream-2.1.0-r0.apk
2024-11-25 22:22
766K
featherpad-1.5.1-r0.apk
2024-10-25 20:11
767K
vile-9.8z_p1-r1.apk
2025-07-01 19:19
768K
ocaml-erm_xml-dev-0_git20211229-r2.apk
2024-10-25 20:12
770K
ocaml-xtmpl-dev-0.19.0-r0.apk
2024-10-25 20:12
772K
yaru-theme-mate-25.10.1-r0.apk
2025-07-13 20:19
772K
ocaml-otoml-dev-1.0.5-r0.apk
2024-10-25 20:12
775K
raku-cro-core-0.8.10-r0.apk
2025-06-07 07:44
776K
exabgp-pyc-4.2.24-r0.apk
2025-03-15 22:11
778K
nextpnr-generic-0.7-r0.apk
2024-10-25 20:11
781K
e16-1.0.30-r0.apk
2024-11-05 13:22
782K
komikku-pyc-1.81.0-r0.apk
2025-07-10 20:33
784K
nicotine-plus-pyc-3.3.10-r0.apk
2025-05-01 18:06
789K
mkdocs-bootstrap386-0.0.2-r5.apk
2024-10-25 20:11
791K
twiggy-0.6.0-r3.apk
2024-10-25 20:13
792K
font-chivo-0_git20221110-r0.apk
2024-10-25 20:11
792K
jaq-2.3.0-r0.apk
2025-07-20 10:52
793K
xvile-9.8z_p1-r1.apk
2025-07-01 19:19
794K
otrs-doc-6.0.48-r2.apk
2024-10-25 20:12
795K
kmscon-9.0.0-r0.apk
2024-10-25 20:11
800K
php81-pecl-xhprof-assets-2.3.10-r0.apk
2024-10-25 20:12
801K
rygel-0.44.2-r0.apk
2025-03-19 12:55
801K
py3-python-stdnum-1.20-r0.apk
2024-10-25 20:12
806K
php85-pecl-xhprof-assets-2.3.10-r0.apk
2025-07-02 18:59
807K
nmap-parse-output-doc-1.5.1-r1.apk
2025-05-29 12:07
808K
py3-modern_colorthief-0.1.7-r0.apk
2025-06-16 18:11
808K
redhat-fonts-4.1.0-r0.apk
2025-03-19 11:46
809K
pyradio-pyc-0.9.3.11-r0.apk
2024-10-25 20:12
810K
lutris-lang-0.5.19-r0.apk
2025-05-26 11:20
810K
sc-controller-pyc-0.5.1-r0.apk
2025-03-26 22:43
813K
emacs-helm-3.9.7_git20240329-r0.apk
2024-10-25 20:11
815K
ocaml-x509-0.16.0-r2.apk
2024-10-25 20:12
818K
lutris-0.5.19-r0.apk
2025-05-26 11:20
819K
ocaml-sexplib-dev-0.16.0-r0.apk
2024-10-25 20:12
820K
ocaml-alcotest-dev-1.5.0-r4.apk
2024-10-25 20:11
821K
py3-apsw-3.49.1.0-r0.apk
2025-02-25 06:29
822K
weggli-0.2.4-r1.apk
2024-10-25 20:13
824K
planner-lang-0.14.92-r1.apk
2024-12-08 21:39
825K
cimg-3.4.1-r0.apk
2024-10-25 20:11
826K
libretro-parallel-n64-0_git20220406-r0.apk
2024-10-25 20:11
827K
geonames-0.3.1-r2.apk
2024-10-25 20:11
827K
dlib-19.24.4-r0.apk
2024-10-25 20:11
830K
rdedup-3.2.1-r5.apk
2024-10-25 20:12
831K
php81-pecl-mongodb-2.1.1-r0.apk
2025-06-15 04:25
836K
font-fira-code-6.2-r0.apk
2024-10-25 20:11
836K
qtile-pyc-0.30.0-r0.apk
2025-02-10 21:36
837K
tk9-9.0.2-r0.apk
2025-07-03 08:14
838K
jwt-cli-6.2.0-r0.apk
2024-12-14 18:18
838K
serie-0.4.6-r0.apk
2025-05-05 08:10
840K
yaru-theme-25.10.1-r0.apk
2025-07-13 20:19
840K
visidata-pyc-3.2-r0.apk
2025-06-20 11:40
841K
lomiri-system-settings-lang-1.3.2-r0.apk
2025-05-09 17:35
846K
buildcache-0.28.9-r0.apk
2024-10-25 20:11
847K
ocaml-ctypes-dev-0.20.1-r2.apk
2024-10-25 20:12
847K
powder-toy-97.0.352-r1.apk
2024-10-25 20:12
848K
font-katex-0.16.2-r0.apk
2024-10-25 20:11
852K
plib-1.8.5-r3.apk
2024-10-25 20:12
853K
lizardfs-master-3.13.0-r17.apk
2025-06-19 08:56
853K
gufw-lang-24.04-r3.apk
2024-11-19 21:42
855K
cargo-show-asm-0.2.51-r0.apk
2025-07-14 13:20
856K
hurlfmt-6.1.1-r0.apk
2025-05-03 17:29
858K
cherrytree-lang-1.4.0-r0.apk
2025-03-26 17:40
859K
wlroots0.12-dbg-0.12.0-r1.apk
2024-10-25 20:13
862K
raku-digest-1.1.0-r0.apk
2025-06-07 07:44
862K
mml-1.0.0-r0.apk
2024-10-25 20:11
864K
cddlib-doc-0.94m-r2.apk
2024-10-25 20:11
864K
qucs-s-lang-1.1.0-r1.apk
2024-10-25 20:12
865K
xwayland-satellite-0.6-r0.apk
2025-06-19 00:00
865K
ocaml-ctypes-0.20.1-r2.apk
2024-10-25 20:12
867K
libretro-theodore-3.1-r0.apk
2024-10-25 20:11
869K
pyradio-0.9.3.11-r0.apk
2024-10-25 20:12
871K
ocaml-lablgtk3-extras-3.0.1-r2.apk
2024-10-25 20:12
871K
py3-dbus-fast-2.44.1-r0.apk
2025-05-02 05:27
873K
silc-client-1.1.11-r18.apk
2025-07-01 19:19
876K
git-graph-0.6.0-r0.apk
2024-11-25 23:38
876K
keepassxc-browser-1.8.9-r0.apk
2024-10-25 20:11
876K
boinc-lang-7.24.3-r0.apk
2024-10-25 20:11
877K
gloox-dev-1.0.28-r0.apk
2024-10-25 20:11
878K
yices2-libs-2.6.5-r0.apk
2025-03-02 23:05
880K
rezolus-2.11.1-r3.apk
2024-10-25 20:12
883K
raspberrypi-usbboot-20250227-r0.apk
2025-06-09 08:41
884K
lumina-desktop-coreutils-1.6.2-r0.apk
2024-10-25 20:11
885K
kannel-dev-1.5.0-r11.apk
2024-10-25 20:11
888K
py3-pyglet-2.1.5-r0.apk
2025-07-13 21:43
890K
ocaml-menhir-dev-20220210-r3.apk
2024-12-08 16:20
891K
draco-1.5.7-r2.apk
2025-02-17 09:34
893K
hstdb-2.1.0-r2.apk
2024-10-25 20:11
894K
azpainter-3.0.11-r0.apk
2025-02-22 11:10
895K
ndpi-dev-4.10-r0.apk
2024-10-25 20:11
898K
libmdbx-0.11.8-r0.apk
2024-10-25 20:11
899K
libretro-fuse-0_git20220417-r0.apk
2024-10-25 20:11
903K
ocaml-tsdl-1.0.0-r0.apk
2024-10-25 20:12
903K
tealdeer-1.7.2-r0.apk
2025-03-23 13:20
905K
eiwd-3.9-r0.apk
2025-07-22 12:27
906K
timeshift-lang-24.06.6-r0.apk
2025-04-23 22:52
917K
mdbook-plantuml-0.8.0-r0.apk
2024-10-25 20:11
918K
repowerd-2023.07-r3.apk
2025-02-17 09:34
918K
rust-script-0.35.0-r0.apk
2024-10-27 16:29
920K
swi-prolog-xpce-9.2.9-r0.apk
2024-12-21 10:10
922K
perl-gtk2-1.24993-r7.apk
2025-07-01 19:19
922K
libabigail-2.3-r0.apk
2024-10-25 20:11
925K
halp-0.2.0-r0.apk
2024-10-25 20:11
925K
py3-beartype-0.21.0-r0.apk
2025-05-25 13:47
926K
ocaml-parsexp-dev-0.16.0-r0.apk
2024-10-25 20:12
927K
py3-litex-hub-pythondata-cpu-cv32e41p-2024.04-r0.apk
2024-10-25 20:12
934K
APKINDEX.tar.gz
2025-07-23 11:59
936K
py3-cvxpy-pyc-1.2.1-r5.apk
2024-10-25 20:12
936K
php81-dev-8.1.33-r0.apk
2025-07-02 22:19
939K
spacer-0.3.9-r0.apk
2025-05-26 13:06
942K
homebank-lang-5.9.1-r0.apk
2025-05-08 12:15
942K
bochs-2.8-r1.apk
2025-02-20 18:40
944K
py3-mbedtls-2.10.1-r3.apk
2025-05-29 12:07
944K
mkdocs-windmill-1.0.5-r4.apk
2024-10-25 20:11
944K
hikari-2.3.3-r6.apk
2024-10-25 20:11
947K
libantlr4-dev-4.13.2-r0.apk
2024-12-12 11:20
951K
flann-dev-1.9.2-r1.apk
2025-02-17 09:34
951K
advancemame-menu-3.9-r4.apk
2024-10-25 20:10
953K
net-predictable-1.5.1-r1.apk
2025-07-10 02:27
953K
cluster-glue-dev-1.0.12-r5.apk
2024-10-25 20:11
957K
dotenv-linter-3.3.0-r1.apk
2024-10-25 20:11
961K
fulcrum-1.9.8-r1.apk
2024-10-25 20:11
967K
cliphist-0.6.1-r6.apk
2025-07-10 02:26
967K
ocaml-re-dev-1.11.0-r1.apk
2024-10-25 20:12
970K
xed-doc-3.8.2-r0.apk
2025-02-05 22:20
971K
rosenpass-0.2.2-r1.apk
2025-02-01 18:23
1.0M
xendmail-0.4.3-r0.apk
2024-10-25 20:13
1.0M
networkmanager-openconnect-lang-1.2.10-r1.apk
2025-04-07 22:00
1.0M
qsstv-9.5.8-r2.apk
2024-10-25 20:12
1.0M
cbqn-0.9.0-r0.apk
2025-03-25 15:47
1.0M
ocaml-markup-1.0.3-r3.apk
2024-10-25 20:12
1.0M
macchina-6.4.0-r0.apk
2025-07-22 20:51
1.0M
font-comic-neue-doc-2.51-r0.apk
2024-10-25 20:11
1.0M
please-0.5.5-r0.apk
2024-10-25 20:12
1.0M
clang21-headers-21.1.0_rc1-r1.apk
2025-07-22 15:50
1.0M
hwatch-0.3.11-r0.apk
2024-10-25 20:11
1.0M
pulseview-0.4.2-r8.apk
2024-10-25 20:12
1.0M
wroomd-0.1.0-r0.apk
2024-10-25 20:13
1.0M
jacktrip-2.5.1-r0.apk
2025-04-17 23:03
1.0M
barrier-2.4.0-r2.apk
2025-02-17 09:34
1.0M
synapse-bt-cli-1.0-r4.apk
2024-10-25 20:12
1.0M
prjtrellis-db-machxo2-0_git20230929-r0.apk
2024-10-25 20:12
1.0M
draw-0.1.1-r14.apk
2025-07-10 02:26
1.0M
milkytracker-1.04.00-r2.apk
2024-10-25 20:11
1.0M
ocaml-lwd-dev-0.3-r0.apk
2024-10-25 20:12
1.0M
grpc-health-check-0.1.1-r3.apk
2024-10-25 20:11
1.0M
tere-1.6.0-r0.apk
2024-10-25 20:12
1.0M
sshsrv-1.0-r13.apk
2025-07-10 02:27
1.0M
aws-lc-1.56.0-r0.apk
2025-07-23 08:14
1.0M
elementary-photos-lang-8.0.1-r0.apk
2024-12-02 22:59
1.0M
lomiri-trust-store-2.0.2-r10.apk
2025-06-28 18:16
1.0M
imgdiff-1.0.2-r27.apk
2025-07-10 02:27
1.0M
ocaml-amqp-client-dev-2.3.0-r0.apk
2024-10-25 20:11
1.0M
ocaml-yojson-2.1.2-r0.apk
2024-10-25 20:12
1.0M
yarn-berry-4.9.1-r0.apk
2025-04-15 21:57
1.0M
xonsh-pyc-0.19.1-r0.apk
2025-02-05 22:20
1.0M
leptosfmt-0.1.33-r0.apk
2025-03-25 15:46
1.0M
mdbook-admonish-1.20.0-r0.apk
2025-06-17 03:03
1.0M
snapper-0.12.2-r0.apk
2025-05-06 12:27
1.0M
qpdfview-0.5-r2.apk
2025-01-29 19:46
1.0M
horust-0.1.7-r2.apk
2024-10-25 20:11
1.0M
boinc-gui-7.24.3-r0.apk
2024-10-25 20:11
1.0M
ol-2.6-r0.apk
2025-05-01 06:23
1.0M
tangara-companion-0.4.3-r0.apk
2024-12-17 20:16
1.0M
quodlibet-4.6.0-r2.apk
2025-05-12 21:41
1.0M
gearman-dev-1.1.21-r2.apk
2025-05-22 07:14
1.0M
volatility3-pyc-2.11.0-r0.apk
2025-02-13 07:45
1.0M
ocaml-obuild-0.1.11-r0.apk
2024-10-25 20:12
1.0M
swi-prolog-xpce-doc-9.2.9-r0.apk
2024-12-21 10:10
1.0M
ocaml-ounit-dev-2.2.7-r3.apk
2024-10-25 20:12
1.0M
kbs2-0.7.2-r3.apk
2024-10-25 20:11
1.0M
ocaml-uucp-dev-14.0.0-r2.apk
2024-10-25 20:12
1.0M
ocp-indent-1.8.2-r2.apk
2024-10-25 20:12
1.0M
supersonik-0.1.0-r2.apk
2025-04-13 13:26
1.1M
ocaml-uunf-14.0.0-r2.apk
2024-10-25 20:12
1.1M
sturmreader-3.7.2-r1.apk
2025-01-29 19:46
1.1M
swhkd-1.2.1-r0.apk
2024-10-25 20:12
1.1M
php85-dev-8.5.0_alpha2-r0.apk
2025-07-16 04:02
1.1M
lomiri-telephony-service-0.6.1-r3.apk
2025-07-03 15:27
1.1M
numbat-1.9.0-r0.apk
2024-10-25 20:11
1.1M
ecasound-dev-2.9.3-r4.apk
2025-02-24 21:15
1.1M
brial-1.2.11-r4.apk
2024-10-25 20:11
1.1M
pest-language-server-0.3.9-r0.apk
2024-10-25 20:12
1.1M
prjtrellis-db-machxo3-0_git20230929-r0.apk
2024-10-25 20:12
1.1M
cln-dev-1.3.7-r1.apk
2025-05-25 07:51
1.1M
libmysofa-tools-1.3.2-r0.apk
2024-10-25 20:11
1.1M
tartube-pyc-2.5.0-r1.apk
2024-10-25 20:12
1.1M
fava-1.28-r0.apk
2024-10-25 20:11
1.1M
py3-aiosmb-pyc-0.4.11-r1.apk
2025-05-29 12:07
1.1M
synapse-bt-1.0-r4.apk
2024-10-25 20:12
1.1M
limnoria-20240828-r0.apk
2024-10-25 20:11
1.1M
xed-3.8.2-r0.apk
2025-02-05 22:20
1.1M
crun-vm-0.3.0-r0.apk
2024-11-12 11:35
1.1M
fxfloorboard-katana-mk2-doc-20240515-r1.apk
2024-10-25 20:11
1.1M
watchbind-0.2.1-r1.apk
2024-10-25 20:13
1.1M
lomiri-system-settings-1.3.2-r0.apk
2025-05-09 17:35
1.1M
duf-0.8.1-r27.apk
2025-07-10 02:26
1.1M
baresip-3.20.0-r1.apk
2025-06-01 16:07
1.1M
laze-0.1.38-r0.apk
2025-07-20 20:22
1.1M
spike-1.1.0-r0.apk
2024-10-25 20:12
1.1M
cutechess-1.3.1-r0.apk
2024-10-25 20:11
1.1M
wlroots0.15-dbg-0.15.1-r6.apk
2024-10-25 20:13
1.1M
py3-litex-hub-modules-pyc-2024.04-r0.apk
2024-10-25 20:12
1.1M
lizardfs-client-3.13.0-r17.apk
2025-06-19 08:56
1.1M
py3-pyglm-2.7.3-r0.apk
2024-11-06 09:41
1.1M
bzmenu-0.2.1-r1.apk
2025-07-19 11:41
1.1M
dewduct-0.2.3-r0.apk
2024-10-25 20:11
1.1M
otree-0.4.0-r0.apk
2025-07-11 08:46
1.1M
filite-0.3.0-r2.apk
2024-10-25 20:11
1.1M
qflipper-gui-1.3.3-r1.apk
2024-10-25 20:12
1.1M
ocaml-zed-dev-3.1.0-r3.apk
2024-10-25 20:12
1.1M
ocaml-ppx_sexp_conv-dev-0.16.0-r0.apk
2024-10-25 20:12
1.1M
circuslinux-data-1.0.3-r1.apk
2024-10-25 20:11
1.1M
dvisvgm-3.4.4-r0.apk
2025-05-18 22:44
1.1M
lutris-pyc-0.5.19-r0.apk
2025-05-26 11:20
1.1M
yaru-icon-theme-bark-25.10.1-r0.apk
2025-07-13 20:19
1.1M
sing-geosite-20250627153051-r0.apk
2025-07-10 11:56
1.1M
cargo-update-16.2.1-r0.apk
2025-03-23 13:24
1.1M
yaru-icon-theme-olive-25.10.1-r0.apk
2025-07-13 20:19
1.1M
yaru-icon-theme-magenta-25.10.1-r0.apk
2025-07-13 20:19
1.1M
tailspin-5.4.2-r0.apk
2025-05-14 09:45
1.2M
flamelens-0.3.1-r0.apk
2025-04-29 07:46
1.2M
py3-sphinx-theme-bootstrap-0.8.1-r4.apk
2024-10-25 20:12
1.2M
yaru-icon-theme-viridian-25.10.1-r0.apk
2025-07-13 20:19
1.2M
yaru-icon-theme-prussiangreen-25.10.1-r0.apk
2025-07-13 20:19
1.2M
py3-textual-pyc-3.2.0-r0.apk
2025-05-14 09:45
1.2M
mdbook-katex-0.9.4-r0.apk
2025-05-17 14:14
1.2M
sqlmap-pyc-1.9.7-r0.apk
2025-07-12 15:29
1.2M
elementary-photos-8.0.1-r0.apk
2024-12-02 22:59
1.2M
yaru-icon-theme-blue-25.10.1-r0.apk
2025-07-13 20:19
1.2M
quodlibet-lang-4.6.0-r2.apk
2025-05-12 21:41
1.2M
wlroots0.16-dbg-0.16.2-r0.apk
2024-10-28 13:47
1.2M
yaru-icon-theme-sage-25.10.1-r0.apk
2025-07-13 20:19
1.2M
speedcrunch-0.12-r3.apk
2024-10-25 20:12
1.2M
go-mtpfs-1.0.0-r28.apk
2025-07-10 02:27
1.2M
repgrep-0.15.0-r0.apk
2024-10-25 20:12
1.2M
chimerautils-14.2.1-r0.apk
2025-05-25 23:20
1.2M
ocaml-tcpip-7.1.2-r3.apk
2024-10-25 20:12
1.2M
ocaml-uuseg-tools-14.0.0-r2.apk
2024-10-25 20:12
1.2M
yaru-icon-theme-purple-25.10.1-r0.apk
2025-07-13 20:19
1.2M
frescobaldi-pyc-3.3.0-r1.apk
2024-10-25 20:11
1.2M
ginac-1.8.9-r0.apk
2025-05-25 07:51
1.2M
taskwarrior-tui-0.26.3-r0.apk
2025-03-26 10:24
1.2M
hunspell-fr-7.0-r0.apk
2025-06-13 15:08
1.2M
yaru-icon-theme-red-25.10.1-r0.apk
2025-07-13 20:19
1.2M
ocaml-lwt-5.7.0-r0.apk
2024-10-25 20:12
1.2M
ocfs2-tools-1.8.7-r4.apk
2024-10-25 20:12
1.2M
yaru-sounds-25.10.1-r0.apk
2025-07-13 20:19
1.2M
gmic-libs-3.5.5-r0.apk
2025-07-15 08:17
1.2M
compiz-lang-0.9.14.2-r11.apk
2025-06-12 14:06
1.2M
orage-lang-4.20.1-r0.apk
2025-04-08 11:49
1.2M
ocaml-menhir-20220210-r3.apk
2024-12-08 16:20
1.2M
ocaml-tls-0.15.3-r4.apk
2024-10-25 20:12
1.2M
limnoria-pyc-20240828-r0.apk
2024-10-25 20:11
1.2M
up-0.4-r30.apk
2025-07-10 02:27
1.2M
kismet-logtools-0.202307.1-r8.apk
2025-06-12 14:06
1.2M
flawz-0.3.0-r0.apk
2024-11-03 21:06
1.2M
pegasus-frontend-16_alpha-r0.apk
2024-10-25 20:12
1.2M
libntl-11.5.1-r4.apk
2024-10-25 20:11
1.2M
octoprint-pyc-1.10.3-r0.apk
2024-12-25 22:04
1.2M
cargo-machete-0.8.0-r0.apk
2025-05-25 23:26
1.2M
fpc-doc-3.2.2-r4.apk
2024-10-25 20:11
1.2M
ocaml-mirage-crypto-0.10.6-r3.apk
2024-10-25 20:12
1.2M
libabigail-dev-2.3-r0.apk
2024-10-25 20:11
1.3M
baikal-0.10.1-r1.apk
2025-05-27 21:37
1.3M
ocaml-erm_xmpp-0_git20220404-r2.apk
2024-10-25 20:12
1.3M
beancount-language-server-1.3.6-r0.apk
2024-11-05 23:38
1.3M
sc-controller-0.5.1-r0.apk
2025-03-26 22:43
1.3M
pop-icon-theme-3.5.1-r0.apk
2025-03-25 07:55
1.3M
piping-server-0.18.0-r0.apk
2024-10-25 20:12
1.3M
ocaml-cohttp-dev-5.3.1-r0.apk
2024-10-25 20:12
1.3M
htslib-tools-1.19-r0.apk
2024-10-25 20:11
1.3M
draco-tools-1.5.7-r2.apk
2025-02-17 09:34
1.3M
ocaml-extlib-dev-1.7.9-r2.apk
2024-10-25 20:12
1.3M
ocaml-ppx_deriving-dev-5.3.0-r0.apk
2024-10-25 20:12
1.3M
dcmtk-3.6.9-r0.apk
2025-01-19 17:13
1.3M
prjtrellis-1.4-r2.apk
2024-10-25 20:12
1.3M
hctl-0.2.7-r0.apk
2025-05-14 00:04
1.3M
ocaml-camomile-1.0.2-r3.apk
2024-10-25 20:11
1.3M
primesieve-dev-12.8-r0.apk
2025-04-29 21:49
1.3M
rustscan-2.3.0-r0.apk
2024-10-25 20:12
1.3M
httplz-2.2.0-r0.apk
2025-05-18 15:39
1.3M
libigraph-0.10.16-r0.apk
2025-06-11 20:47
1.3M
emulationstation-2.11.2-r1.apk
2024-10-25 20:11
1.3M
tk9-doc-9.0.2-r0.apk
2025-07-03 08:14
1.3M
lomiri-ui-toolkit-1.3.5110-r1.apk
2025-06-28 18:16
1.3M
wlroots0.17-dbg-0.17.4-r1.apk
2024-11-23 09:00
1.3M
ktx-4.3.2-r1.apk
2025-06-10 02:52
1.3M
ocaml-qcheck-dev-0.18.1-r3.apk
2024-10-25 20:12
1.3M
yaru-icon-theme-mate-25.10.1-r0.apk
2025-07-13 20:19
1.3M
gnu-apl-1.9-r0.apk
2024-10-25 20:11
1.3M
ocaml-labltk-dev-8.06.12-r2.apk
2024-10-25 20:12
1.3M
kgraphviewer-2.5.0-r0.apk
2024-10-25 20:11
1.3M
lowjs-1.6.2-r2.apk
2024-10-25 20:11
1.3M
genact-1.4.2-r0.apk
2024-10-25 20:11
1.3M
makeclapman-2.4.4-r6.apk
2025-07-10 02:27
1.3M
sblg-doc-0.5.11-r0.apk
2024-10-25 20:12
1.3M
singular-doc-4.4.1_p2-r0.apk
2025-07-02 17:19
1.3M
lout-3.42.2-r0.apk
2024-10-25 20:11
1.4M
ocaml-uri-4.2.0-r2.apk
2024-10-25 20:12
1.4M
reaction-2.1.2-r0.apk
2025-07-15 07:52
1.4M
faust-dev-2.79.3-r0.apk
2025-06-07 17:04
1.4M
ndpi-4.10-r0.apk
2024-10-25 20:11
1.4M
snippets-ls-0.0.4_git20240617-r6.apk
2025-07-10 02:27
1.4M
tcl9-doc-9.0.2-r0.apk
2025-07-03 08:14
1.4M
mapserver-8.4.0-r2.apk
2025-06-10 12:01
1.4M
lomiri-content-hub-doc-2.1.0-r0.apk
2025-04-13 22:06
1.4M
ckb-next-0.6.2-r0.apk
2025-03-19 11:45
1.4M
keystone-0.9.2-r6.apk
2024-10-25 20:11
1.4M
qgis-grass-3.40.8-r1.apk
2025-07-04 22:17
1.4M
sydbox-3.21.3-r0.apk
2024-10-25 20:12
1.4M
gimp-plugin-gmic-3.5.5-r0.apk
2025-07-15 08:17
1.4M
rathole-0.5.0-r0.apk
2024-10-25 20:12
1.4M
dufs-0.43.0-r0.apk
2025-01-23 19:05
1.4M
ktx-libs-4.3.2-r1.apk
2025-06-10 02:52
1.4M
mame-lang-0.251-r0.apk
2024-10-25 20:11
1.4M
draco-static-1.5.7-r2.apk
2025-02-17 09:34
1.4M
megazeux-2.93d-r0.apk
2025-06-10 13:49
1.4M
ijq-1.1.0-r9.apk
2025-07-10 02:27
1.4M
oil-0.21.0-r0.apk
2024-10-25 20:12
1.4M
asymptote-3.04-r0.apk
2025-05-31 06:53
1.5M
eboard-1.1.3-r1.apk
2024-10-25 20:11
1.5M
openocd-riscv-0_git20230104-r2.apk
2024-10-25 20:12
1.5M
chamo-byte-4.0-r0.apk
2024-10-25 20:11
1.5M
dstask-0.26-r15.apk
2025-07-10 02:26
1.5M
subtitleeditor-0.54.0-r3.apk
2024-10-25 20:12
1.5M
hfst-3.16.2-r0.apk
2025-03-29 15:58
1.5M
xsane-0.999-r2.apk
2024-10-25 20:13
1.5M
ocaml-sedlex-dev-3.2-r0.apk
2024-10-25 20:12
1.5M
elementary-theme-8.1.0-r0.apk
2025-01-12 20:36
1.5M
pulsar-client-cpp-3.7.1-r0.apk
2025-06-12 14:06
1.5M
legume-1.4.2-r10.apk
2025-07-10 02:27
1.5M
youtube-tui-0.8.3-r0.apk
2025-05-12 09:12
1.5M
freshrss-themes-1.23.1-r1.apk
2024-10-25 20:11
1.5M
perl-minion-10.31-r0.apk
2024-10-25 20:12
1.5M
youki-0.4.1-r0.apk
2024-10-25 20:13
1.5M
wiki-tui-0.8.2-r1.apk
2024-10-25 20:13
1.5M
nextpnr-gowin-0.7-r0.apk
2024-10-25 20:11
1.5M
godini-1.0.0-r1.apk
2025-07-10 02:27
1.5M
ocaml-lablgtk3-extras-dev-3.0.1-r2.apk
2024-10-25 20:12
1.5M
ocaml-x509-dev-0.16.0-r2.apk
2024-10-25 20:12
1.5M
freshrss-1.23.1-r1.apk
2024-10-25 20:11
1.5M
ocaml-ca-certs-nss-tools-3.89.1-r1.apk
2024-10-25 20:11
1.5M
runst-0.1.7-r0.apk
2024-10-25 20:12
1.5M
ocaml-omake-0.10.6-r0.apk
2024-10-25 20:12
1.5M
ffsend-0.2.76-r4.apk
2024-10-25 20:11
1.5M
kjv-0_git20221103-r0.apk
2024-10-25 20:11
1.5M
iwmenu-0.2.0-r1.apk
2025-07-19 11:41
1.6M
siril-lang-1.2.6-r3.apk
2025-07-07 07:25
1.6M
gnu-apl-doc-1.9-r0.apk
2024-10-25 20:11
1.6M
mint-y-theme-gtk4-2.1.1-r0.apk
2024-10-25 20:11
1.6M
nicotine-plus-3.3.10-r0.apk
2025-05-01 18:06
1.6M
voikko-fi-2.5-r0.apk
2024-10-25 20:13
1.6M
openocd-git-0_git20240113-r1.apk
2024-10-25 20:12
1.6M
zydis-doc-4.1.0-r0.apk
2024-10-25 20:13
1.6M
libretro-beetle-saturn-0_git20220417-r0.apk
2024-10-25 20:11
1.6M
scap-workbench-doc-1.2.1-r3.apk
2024-10-25 20:12
1.6M
ocaml-erm_xmpp-dev-0_git20220404-r2.apk
2024-10-25 20:12
1.6M
chocolate-doom-3.1.0-r0.apk
2024-10-25 20:11
1.6M
seed7-doc-05.20240322-r0.apk
2024-10-25 20:12
1.6M
boinc-7.24.3-r0.apk
2024-10-25 20:11
1.6M
igrep-1.2.0-r0.apk
2024-10-25 20:11
1.6M
libsemigroups-static-2.7.3-r1.apk
2024-12-14 19:46
1.6M
brial-dev-1.2.11-r4.apk
2024-10-25 20:11
1.6M
nwg-bar-0.1.6-r11.apk
2025-07-10 02:27
1.6M
dcmtk-dev-3.6.9-r0.apk
2025-01-19 17:13
1.6M
drogon-1.9.4-r2.apk
2025-05-22 07:14
1.6M
py3-pyglet-pyc-2.1.5-r0.apk
2025-07-13 21:43
1.6M
armagetronad-0.2.9.1.1-r0.apk
2024-10-25 20:10
1.6M
empede-0.2.3-r0.apk
2024-10-25 20:11
1.6M
mage-1.13.0-r23.apk
2025-05-12 07:42
1.6M
py3-tokenizers-0.21.2-r0.apk
2025-07-01 19:19
1.6M
apmpkg-1.5.1-r3.apk
2024-10-25 20:10
1.6M
ocaml-yojson-dev-2.1.2-r0.apk
2024-10-25 20:12
1.6M
mdbook-mermaid-0.15.0-r0.apk
2025-05-17 14:14
1.7M
antimicrox-3.5.1-r0.apk
2025-06-13 13:56
1.7M
watershot-0.2.0-r0.apk
2024-10-25 20:13
1.7M
asdf-0.18.0-r1.apk
2025-07-10 02:26
1.7M
noggin-model-lightweight-0.1-r0.apk
2024-10-25 20:11
1.7M
ouch-0.6.1-r0.apk
2025-05-28 07:39
1.7M
keystone-python-0.9.2-r6.apk
2024-10-25 20:11
1.7M
pomo-0.8.1-r24.apk
2025-07-10 02:27
1.7M
pcem-17-r2.apk
2024-10-25 20:12
1.7M
neocmakelsp-0.8.22-r0.apk
2025-05-05 08:15
1.7M
libntl-static-11.5.1-r4.apk
2024-10-25 20:11
1.7M
chim-1.1.2-r1.apk
2024-10-25 20:11
1.7M
materia-kde-plasma-20220823-r0.apk
2024-10-25 20:11
1.7M
fplll-strategies-5.5.0-r0.apk
2024-11-18 18:00
1.7M
wl-gammarelay-0.1.1-r15.apk
2025-07-10 02:27
1.7M
flann-1.9.2-r1.apk
2025-02-17 09:34
1.7M
fheroes2-lang-1.1.10-r0.apk
2025-07-21 21:16
1.7M
gmic-qt-3.5.5-r0.apk
2025-07-15 08:17
1.7M
66-dev-0.8.2.1-r0.apk
2025-06-03 19:11
1.7M
aqemu-0.9.4-r3.apk
2024-10-25 20:10
1.7M
edward-1.1.0-r0.apk
2024-10-25 20:11
1.8M
crispy-doom-7.0-r0.apk
2024-10-25 20:11
1.8M
daktilo-0.6.0-r0.apk
2024-10-25 20:11
1.8M
qgis-server-3.40.8-r1.apk
2025-07-04 22:17
1.8M
percona-toolkit-3.5.4-r1.apk
2024-10-25 20:12
1.8M
goxel-0.15.1-r0.apk
2024-10-25 20:11
1.8M
fheroes2-1.1.10-r0.apk
2025-07-21 21:16
1.8M
nwg-dock-0.4.3-r1.apk
2025-07-10 02:27
1.8M
srb2-2.2.15-r1.apk
2025-04-11 18:13
1.8M
cocogitto-6.3.0-r0.apk
2025-03-21 19:28
1.8M
hurl-6.1.1-r0.apk
2025-05-03 17:29
1.8M
bacon-3.16.0-r0.apk
2025-06-23 13:34
1.8M
py3-litex-hub-pythondata-cpu-ibex-2024.04-r0.apk
2024-10-25 20:12
1.8M
quodlibet-pyc-4.6.0-r2.apk
2025-05-12 21:41
1.8M
php81-embed-8.1.33-r0.apk
2025-07-02 22:19
1.8M
psi-plus-plugins-1.5.2069-r0.apk
2025-07-22 22:05
1.8M
tintin-2.02.31-r0.apk
2024-10-25 20:12
1.8M
php81-apache2-8.1.33-r0.apk
2025-07-02 22:19
1.8M
raku-functionalparsers-0.1.10-r0.apk
2025-07-21 08:40
1.8M
php81-cgi-8.1.33-r0.apk
2025-07-02 22:19
1.8M
efl-dev-1.28.1-r2.apk
2025-03-23 15:03
1.8M
mint-y-theme-gtk3-2.1.1-r0.apk
2024-10-25 20:11
1.8M
sydbox-oci-3.21.3-r0.apk
2024-10-25 20:12
1.8M
php81-litespeed-8.1.33-r0.apk
2025-07-02 22:19
1.8M
ocaml-iri-1.0.0-r0.apk
2024-10-25 20:12
1.8M
sfizz-1.2.3-r0.apk
2025-05-16 20:14
1.8M
php81-8.1.33-r0.apk
2025-07-02 22:19
1.8M
sympow-2.023.7-r2.apk
2025-05-02 14:17
1.8M
9base-6-r2.apk
2024-10-25 20:10
1.9M
openocd-esp32-0_git20250422-r1.apk
2025-07-05 19:52
1.9M
eludris-0.3.3-r1.apk
2024-10-25 20:11
1.9M
android-apkeep-0.17.0-r0.apk
2024-10-25 20:10
1.9M
lutgen-1.0.0-r0.apk
2025-07-21 20:37
1.9M
spotify-tui-0.25.0-r2.apk
2024-10-25 20:12
1.9M
antibody-6.1.1-r29.apk
2025-07-10 02:26
1.9M
mkcert-1.4.4-r20.apk
2025-07-10 02:27
1.9M
grcov-0.8.20-r0.apk
2024-11-11 10:03
1.9M
tcl9-9.0.2-r0.apk
2025-07-03 08:14
1.9M
php81-fpm-8.1.33-r0.apk
2025-07-02 22:19
1.9M
php81-phpdbg-8.1.33-r0.apk
2025-07-02 22:19
1.9M
ocaml-atd-dev-2.15.0-r0.apk
2024-10-25 20:11
1.9M
cadence-0.9.2-r0.apk
2024-10-25 20:11
1.9M
py3-pyinstaller-6.6.0-r0.apk
2024-10-25 20:12
1.9M
metadata-cleaner-doc-2.5.6-r0.apk
2025-01-31 14:31
1.9M
gobang-0.1.0_alpha5-r1.apk
2024-10-25 20:11
1.9M
vidcutter-pyc-6.0.5.3-r0.apk
2024-10-25 20:13
1.9M
py3-litex-hub-pythondata-cpu-cv32e40p-2024.04-r0.apk
2024-10-25 20:12
1.9M
openfpgaloader-0.11.0-r0.apk
2024-10-25 20:12
1.9M
tachyon-scenes-0.99_beta6-r1.apk
2024-10-25 20:12
1.9M
hitide-0.15.0-r0.apk
2024-10-25 20:11
1.9M
glslviewer-3.2.4-r1.apk
2025-02-08 23:44
1.9M
tui-journal-0.10.0-r0.apk
2024-10-25 20:13
1.9M
authenticator-rs-0.7.5-r0.apk
2024-10-25 20:10
1.9M
cpdf-2.8.1-r0.apk
2025-05-08 12:15
2.0M
virtualgl-3.1.3-r0.apk
2025-04-21 21:46
2.0M
jackdaw-0.3.1-r2.apk
2025-05-29 12:07
2.0M
yubikey-agent-0.1.6-r13.apk
2025-07-10 02:27
2.0M
decoder-0.7.0-r0.apk
2025-04-11 18:13
2.0M
sqruff-0.25.26-r0.apk
2025-04-13 20:32
2.0M
xmpp-dns-0.2.4-r25.apk
2025-07-10 02:27
2.0M
avida-0_git20190813-r1.apk
2024-10-25 20:10
2.0M
so-0.4.10-r0.apk
2024-10-25 20:12
2.0M
homebank-5.9.1-r0.apk
2025-05-08 12:15
2.0M
font-stix-otf-2.13-r0.apk
2024-10-25 20:11
2.0M
swi-prolog-doc-9.2.9-r0.apk
2024-12-21 10:10
2.1M
commit-lsp-0.1.0-r0.apk
2025-05-08 12:15
2.1M
font-monaspace-krypton-1.101-r0.apk
2024-10-25 20:11
2.1M
ocaml-markup-dev-1.0.3-r3.apk
2024-10-25 20:12
2.1M
xed-lang-3.8.2-r0.apk
2025-02-05 22:20
2.1M
trippy-0.13.0-r0.apk
2025-05-18 23:22
2.1M
hfst-libs-3.16.2-r0.apk
2025-03-29 15:58
2.1M
sssd-2.11.0-r0.apk
2025-06-06 09:11
2.1M
mesonlsp-4.3.7-r4.apk
2025-06-19 05:38
2.1M
nauty-libs-2.9.0-r0.apk
2025-07-18 06:15
2.1M
bitritter-0.1.1-r0.apk
2024-10-25 20:11
2.1M
prjtrellis-db-ecp5-0_git20230929-r0.apk
2024-10-25 20:12
2.1M
font-monaspace-neon-1.101-r0.apk
2024-10-25 20:11
2.1M
cpu-x-5.2.0-r1.apk
2025-05-06 10:02
2.1M
hashcat-doc-6.2.6-r0.apk
2024-10-25 20:11
2.1M
libcpdf-2.8.1-r0.apk
2025-05-08 12:15
2.2M
brltty-6.7-r1.apk
2025-03-29 15:58
2.2M
rss-email-0.5.0-r0.apk
2024-10-25 20:12
2.2M
monetdb-11.33.11-r4.apk
2024-10-25 20:11
2.2M
vice-doc-3.9-r0.apk
2025-02-24 21:15
2.2M
ocaml-dns-6.2.2-r3.apk
2024-10-25 20:12
2.2M
py3-litex-hub-pythondata-software-compiler_rt-2024.04-r0.apk
2024-10-25 20:12
2.2M
font-monaspace-argon-1.101-r0.apk
2024-10-25 20:11
2.2M
solarus-engine-1.7.0-r2.apk
2025-07-20 19:30
2.2M
primecount-dev-7.17-r0.apk
2025-05-16 14:32
2.2M
watchmate-0.5.3-r0.apk
2025-05-16 17:24
2.2M
lomiri-location-service-3.3.0-r4.apk
2025-06-26 14:59
2.2M
sing-geoip-20250612-r0.apk
2025-06-16 15:55
2.3M
guetzli-dev-0_git20191025-r2.apk
2025-05-25 07:51
2.3M
bootloose-0.7.1-r12.apk
2025-07-10 02:26
2.3M
jami-qt-lang-20230925-r0.apk
2024-10-25 20:11
2.3M
ergo-ldap-0.0.1-r18.apk
2025-07-10 02:26
2.3M
yices2-2.6.5-r0.apk
2025-03-02 23:05
2.3M
ocaml-tls-dev-0.15.3-r4.apk
2024-10-25 20:12
2.3M
mir-2.21.1-r0.apk
2025-07-12 11:30
2.3M
cargo-generate-0.23.3-r0.apk
2025-05-13 21:38
2.3M
resources-1.8.0-r1.apk
2025-05-09 22:12
2.3M
font-monaspace-xenon-1.101-r0.apk
2024-10-25 20:11
2.3M
satellite-1.0.0-r29.apk
2025-07-10 02:27
2.3M
libretro-ppsspp-0_git20210516-r15.apk
2025-04-11 18:13
2.3M
protoc-gen-go-1.36.6-r1.apk
2025-07-10 02:27
2.4M
hpnssh-18.6.2-r0.apk
2025-03-20 11:57
2.4M
ocaml-happy-eyeballs-lwt-tools-0.3.0-r3.apk
2024-10-25 20:12
2.4M
simgear-2024.1.1-r0.apk
2025-03-05 00:14
2.4M
ocaml-tcpip-dev-7.1.2-r3.apk
2024-10-25 20:12
2.4M
py3-litex-hub-pythondata-cpu-vexriscv_smp-2024.04-r0.apk
2024-10-25 20:12
2.4M
certstrap-1.3.0-r25.apk
2025-07-10 02:26
2.4M
rustdesk-server-1.1.10.3-r0.apk
2024-10-25 20:12
2.4M
musikcube-3.0.4-r1.apk
2025-01-26 19:50
2.4M
dlib-dev-19.24.4-r0.apk
2024-10-25 20:11
2.4M
py3-sphinx-theme-guzzle-0.7.11-r7.apk
2024-10-25 20:12
2.4M
wmi-client-1.3.16-r5.apk
2024-10-25 20:13
2.5M
py3-seqdiag-3.0.0-r5.apk
2024-10-25 20:12
2.5M
ocaml-camomile-dev-1.0.2-r3.apk
2024-10-25 20:12
2.5M
stalwart-cli-0.13.1-r0.apk
2025-07-17 07:16
2.5M
py3-swagger-ui-bundle-1.1.0-r1.apk
2024-10-25 20:12
2.5M
qownnotes-23.6.6-r0.apk
2024-10-25 20:12
2.5M
py3-blockdiag-tests-3.0.0-r6.apk
2025-01-10 12:38
2.5M
kronosnet-dev-1.30-r0.apk
2025-05-27 23:27
2.5M
ocaml-omod-bin-0.0.3-r3.apk
2024-10-25 20:12
2.5M
ircdog-0.5.4-r6.apk
2025-07-10 02:27
2.5M
aprilsh-server-0.7.12-r6.apk
2025-07-10 02:26
2.6M
twinkle-1.10.3-r3.apk
2025-01-04 21:55
2.6M
libmdbx-dbg-0.11.8-r0.apk
2024-10-25 20:11
2.6M
klevernotes-1.1.0-r0.apk
2024-10-25 20:11
2.6M
gtkwave-3.3.120-r0.apk
2024-10-25 20:11
2.6M
goreman-0.3.15-r14.apk
2025-07-10 02:27
2.6M
secsipidx-libs-1.3.2-r13.apk
2025-07-10 02:27
2.6M
ipp-usb-0.9.30-r1.apk
2025-07-10 02:27
2.6M
avahi2dns-0.1.0-r2.apk
2025-07-10 02:26
2.6M
rtl8812au-src-5.6.4.2_git20250530-r0.apk
2025-06-01 16:07
2.6M
libgdcm-3.0.24-r1.apk
2025-07-20 10:48
2.6M
pgcat-1.2.0-r1.apk
2025-01-01 17:38
2.6M
sgt-puzzles-0_git20230310-r2.apk
2024-10-25 20:12
2.6M
php85-embed-8.5.0_alpha2-r0.apk
2025-07-16 04:02
2.7M
cherrytree-1.4.0-r0.apk
2025-03-26 17:40
2.7M
docker-volume-local-persist-1.3.0-r34.apk
2025-07-10 02:26
2.7M
rizin-0.8.1-r0.apk
2025-07-01 19:19
2.7M
gron-0.7.1-r26.apk
2025-07-10 02:27
2.7M
php85-cgi-8.5.0_alpha2-r0.apk
2025-07-16 04:02
2.7M
php85-apache2-8.5.0_alpha2-r0.apk
2025-07-16 04:02
2.7M
rizin-cutter-2.4.1-r0.apk
2025-07-01 19:19
2.7M
wayfire-0.9.0-r0.apk
2025-02-27 20:18
2.7M
terminology-1.14.0-r0.apk
2025-05-27 22:49
2.7M
php85-litespeed-8.5.0_alpha2-r0.apk
2025-07-16 04:02
2.7M
pitivi-2023.03-r2.apk
2024-12-22 22:04
2.7M
php85-8.5.0_alpha2-r0.apk
2025-07-16 04:02
2.7M
mdbook-linkcheck-0.7.7-r0.apk
2025-05-16 19:17
2.7M
aports-glmr-0.2-r29.apk
2025-07-10 02:26
2.7M
tty-proxy-0.0.2-r29.apk
2025-07-10 02:27
2.7M
mmar-0.2.5-r1.apk
2025-05-12 07:42
2.7M
android-translation-layer-0_git20250402-r0.apk
2025-04-14 17:11
2.7M
innernet-1.6.1-r0.apk
2024-10-25 20:11
2.7M
mailsec-check-0_git20210729-r27.apk
2025-07-10 02:27
2.7M
mame-tools-0.251-r0.apk
2024-10-25 20:11
2.7M
php85-phpdbg-8.5.0_alpha2-r0.apk
2025-07-16 04:02
2.7M
php85-fpm-8.5.0_alpha2-r0.apk
2025-07-16 04:02
2.7M
copyq-10.0.0-r0.apk
2025-06-25 13:53
2.7M
tartube-2.5.0-r1.apk
2024-10-25 20:12
2.7M
font-monaspace-radon-1.101-r0.apk
2024-10-25 20:11
2.7M
tangctl-0_git20241007-r5.apk
2025-07-10 02:27
2.7M
gitoxide-0.14.0-r1.apk
2024-10-25 20:11
2.8M
libretro-mame2000-0_git20240701-r0.apk
2024-10-25 20:11
2.8M
vidcutter-6.0.5.3-r0.apk
2024-10-25 20:13
2.8M
krita-plugin-gmic-3.2.4.1-r3.apk
2024-10-25 20:11
2.8M
k3sup-0.13.6-r6.apk
2025-07-10 02:27
2.8M
tmpl-0.4.0-r12.apk
2025-07-10 02:27
2.8M
librespot-0.6.0-r0.apk
2024-11-01 21:59
2.8M
chimerautils-dbg-14.2.1-r0.apk
2025-05-25 23:20
2.9M
siril-1.2.6-r3.apk
2025-07-07 07:25
2.9M
sos-0.8-r32.apk
2025-07-10 02:27
2.9M
hub-2.14.2-r32.apk
2025-07-10 02:27
2.9M
vym-2.9.26-r0.apk
2024-10-25 20:13
2.9M
suru-icon-theme-2025.05.0-r0.apk
2025-05-09 17:35
2.9M
secsipidx-1.3.2-r13.apk
2025-07-10 02:27
2.9M
ocaml-labltk-8.06.12-r2.apk
2024-10-25 20:12
2.9M
lychee-0.19.1-r0.apk
2025-06-17 08:07
2.9M
botan2-libs-2.19.5-r0.apk
2025-05-28 21:40
2.9M
recoll-1.37.5-r1.apk
2024-10-25 20:12
2.9M
nerdlog-1.10.0-r1.apk
2025-07-10 02:27
2.9M
ocaml-gitlab-0.1.8-r0.apk
2024-10-25 20:12
2.9M
dmarc-cat-0.15.0-r6.apk
2025-07-10 02:26
2.9M
symengine-0.12.0-r0.apk
2024-10-25 20:12
2.9M
lld21-libs-21.1.0_rc1-r1.apk
2025-07-22 15:50
2.9M
normaliz-libs-3.10.4-r2.apk
2025-06-13 05:13
2.9M
apt-swarm-0.5.1-r0.apk
2025-05-26 11:00
2.9M
fceux-2.6.6-r2.apk
2024-10-25 20:11
3.0M
py3-language-data-pyc-1.3.0-r0.apk
2024-12-01 20:08
3.0M
sipexer-1.2.0-r4.apk
2025-07-10 02:27
3.0M
nauty-dev-2.9.0-r0.apk
2025-07-18 06:15
3.0M
pyonji-0.1.0-r6.apk
2025-07-10 02:27
3.0M
mdcat-2.7.1-r0.apk
2024-12-14 18:04
3.0M
consul-replicate-0.4.0-r32.apk
2025-07-10 02:26
3.0M
octoprint-1.10.3-r0.apk
2024-12-25 22:04
3.0M
msh-2.5.0-r13.apk
2025-07-10 02:27
3.0M
steamguard-cli-0.17.1-r0.apk
2025-07-10 17:35
3.1M
ripasso-cursive-0.7.0-r0.apk
2025-05-23 09:26
3.1M
walk-1.13.0-r4.apk
2025-07-10 02:27
3.1M
asymptote-doc-3.04-r0.apk
2025-05-31 06:53
3.1M
snikket-sdk-0_git20250612-r0.apk
2025-06-13 15:05
3.1M
ocaml-lwt-dev-5.7.0-r0.apk
2024-10-25 20:12
3.2M
linphone-libs-5.3.38-r0.apk
2024-10-25 20:11
3.2M
libcpdf-static-2.8.1-r0.apk
2025-05-08 12:15
3.2M
simh-3.11.1-r1.apk
2024-10-25 20:12
3.2M
sonicradio-0.7.1-r0.apk
2025-07-20 20:27
3.2M
ocaml-gettext-0.4.2-r3.apk
2024-10-25 20:12
3.2M
ntpd-rs-1.6.1-r0.apk
2025-07-16 11:23
3.2M
olab-0.1.8-r0.apk
2024-10-25 20:12
3.3M
emulationstation-theme-gbz35-2.11.2-r1.apk
2024-10-25 20:11
3.3M
drupal7-7.103-r0.apk
2024-12-04 17:27
3.3M
lbb-0.9.1-r4.apk
2025-07-10 02:27
3.3M
zizmor-1.10.0-r0.apk
2025-06-28 18:16
3.3M
butane-0.24.0-r1.apk
2025-07-10 02:26
3.3M
ocaml-lambda-term-3.2.0-r4.apk
2024-10-25 20:12
3.3M
svls-0.2.12-r0.apk
2024-10-25 20:12
3.4M
nsh-dbg-0.4.2-r1.apk
2024-10-25 20:11
3.4M
lotide-0.15.0-r0.apk
2024-10-25 20:11
3.4M
hardinfo2-2.2.10-r0.apk
2025-05-18 22:19
3.4M
vym-doc-2.9.26-r0.apk
2024-10-25 20:13
3.4M
jsonnet-bundler-0.6.0-r6.apk
2025-07-10 02:27
3.4M
gliderlabs-sigil-0.11.0-r6.apk
2025-07-10 02:27
3.4M
webhookd-1.20.2-r1.apk
2025-07-10 02:27
3.4M
lomiri-download-manager-doc-0.2.1-r0.apk
2025-04-13 23:14
3.4M
aprilsh-client-0.7.12-r6.apk
2025-07-10 02:26
3.4M
ocaml-containers-3.7-r2.apk
2024-10-25 20:12
3.5M
ocaml-ppx_blob-0.8.0-r0.apk
2024-10-25 20:12
3.5M
frescobaldi-3.3.0-r1.apk
2024-10-25 20:11
3.5M
openrdap-0.9.1-r0.apk
2025-06-24 15:50
3.5M
qgis-dev-3.40.8-r1.apk
2025-07-04 22:17
3.5M
ruuvi-prometheus-0.1.9-r3.apk
2025-07-10 02:27
3.5M
dockerize-0.9.3-r2.apk
2025-07-10 02:26
3.5M
phpactor-2025.04.17.0-r0.apk
2025-05-30 16:24
3.5M
ocaml-lwt_ppx-5.7.0-r0.apk
2024-10-25 20:12
3.5M
qucs-s-1.1.0-r1.apk
2024-10-25 20:12
3.6M
netscanner-0.5.1-r1.apk
2024-10-25 20:11
3.6M
hilbish-2.3.4-r6.apk
2025-07-10 02:27
3.6M
kubeconform-0.6.6-r8.apk
2025-07-10 02:27
3.6M
font-openmoji-15.1.0-r0.apk
2025-05-27 09:45
3.6M
dstask-import-0.26-r15.apk
2025-07-10 02:26
3.6M
raku-monad-0.1.2-r0.apk
2025-07-21 08:40
3.6M
prometheus-smtp2go-exporter-0.1.1-r0.apk
2025-07-22 16:09
3.6M
khinsider-2.0.7-r21.apk
2025-07-10 02:27
3.6M
porla-0.41.0-r2.apk
2025-02-24 15:10
3.7M
advancemame-mess-3.9-r4.apk
2024-10-25 20:10
3.7M
wiimms-iso-tools-3.04a-r1.apk
2024-10-25 20:13
3.7M
apx-2.4.5-r1.apk
2025-07-19 08:24
3.7M
jackline-0.1.0-r3.apk
2024-10-25 20:11
3.7M
gobuster-3.6.0-r13.apk
2025-07-10 02:27
3.7M
maildir2rss-0.0.7-r6.apk
2025-07-10 02:27
3.7M
openocd-git-dbg-0_git20240113-r1.apk
2024-10-25 20:12
3.7M
lomiri-gallery-app-3.0.2-r1.apk
2025-03-13 06:06
3.7M
gomp-1.0.0-r13.apk
2025-07-10 02:27
3.7M
kapow-0.7.1-r14.apk
2025-07-10 02:27
3.7M
ocaml-bitstring-4.1.0-r3.apk
2024-10-25 20:11
3.8M
chawan-0.2.2-r0.apk
2025-07-23 00:55
3.8M
ocaml-lambda-term-dev-3.2.0-r4.apk
2024-10-25 20:12
3.8M
webtunnel-0.0.2-r2.apk
2025-07-10 02:27
3.8M
alpine-lift-0.2.0-r24.apk
2025-07-10 02:26
3.8M
yaru-common-25.10.1-r0.apk
2025-07-13 20:19
3.8M
otrs-dev-6.0.48-r2.apk
2024-10-25 20:12
3.9M
ocaml-cstruct-6.1.0-r3.apk
2024-10-25 20:12
3.9M
ocaml-sedlex-3.2-r0.apk
2024-10-25 20:12
3.9M
prometheus-unbound-exporter-0.4.6-r5.apk
2025-05-12 07:42
3.9M
horizon-dbg-0.9.6-r9.apk
2024-10-25 20:11
3.9M
tty-share-2.4.0-r19.apk
2025-07-10 02:27
3.9M
ghq-1.8.0-r2.apk
2025-07-10 02:26
3.9M
certigo-1.16.0-r24.apk
2025-07-10 02:26
3.9M
comics-downloader-0.33.8-r11.apk
2025-07-10 02:26
3.9M
autorestic-1.8.3-r6.apk
2025-07-10 02:26
3.9M
pspp-dbg-2.0.1-r0.apk
2024-10-25 20:12
3.9M
modem-manager-gui-doc-0.0.20-r0.apk
2024-10-25 20:11
3.9M
phoronix-test-suite-10.8.4-r2.apk
2024-10-25 20:12
3.9M
openapi-tui-0.9.4-r1.apk
2024-10-25 20:12
4.0M
clang21-dev-21.1.0_rc1-r1.apk
2025-07-22 15:50
4.0M
lomiri-0.5.0-r0.apk
2025-05-09 17:35
4.0M
rtl88x2bu-src-5.13.1_git20230711-r0.apk
2024-10-25 20:12
4.0M
java-jtharness-6.0_p12-r0.apk
2024-10-25 20:11
4.0M
dive-0.13.0-r3.apk
2025-07-10 02:26
4.0M
py3-drf-yasg-1.21.10-r0.apk
2025-07-15 08:26
4.0M
chamo-dev-4.0-r0.apk
2024-10-25 20:11
4.1M
speedtest_exporter-0.3.2-r16.apk
2025-07-10 02:27
4.1M
manifest-tool-2.2.0-r2.apk
2025-07-10 02:27
4.1M
ocaml-dns-dev-6.2.2-r3.apk
2024-10-25 20:12
4.1M
wgcf-2.2.26-r1.apk
2025-07-10 02:27
4.1M
invidtui-0.4.6-r6.apk
2025-07-10 02:27
4.2M
i3status-rust-0.34.0-r0.apk
2025-07-19 05:23
4.2M
rustical-0.6.5-r0.apk
2025-07-22 22:18
4.2M
ticker-4.8.1-r3.apk
2025-07-10 02:27
4.2M
rtl8821ce-src-5_git20250331-r0.apk
2025-04-07 18:35
4.3M
exercism-3.2.0-r14.apk
2025-07-10 02:26
4.3M
ocaml-uri-dev-4.2.0-r2.apk
2024-10-25 20:12
4.3M
ocaml-bisect_ppx-2.8.3-r0.apk
2024-10-25 20:11
4.3M
spotify-player-0.20.4-r0.apk
2025-03-02 15:56
4.3M
projectm-presets-3.1.12-r2.apk
2024-10-25 20:12
4.3M
jsonnet-language-server-0.15.0-r5.apk
2025-07-10 02:27
4.4M
arc-icon-theme-20161122-r0.apk
2024-10-25 20:10
4.4M
prometheus-rethinkdb-exporter-1.0.1-r29.apk
2025-07-10 02:27
4.4M
qownnotes-lang-23.6.6-r0.apk
2024-10-25 20:12
4.4M
cortex-tenant-1.15.2-r7.apk
2025-07-10 02:26
4.4M
libsymmetrica-3.0.1-r2.apk
2024-10-25 20:11
4.4M
gotify-cli-2.3.2-r6.apk
2025-07-10 02:27
4.4M
prometheus-ipmi-exporter-1.8.0-r5.apk
2025-05-12 07:42
4.5M
yoshimi-doc-2.3.3.3-r0.apk
2025-03-13 22:33
4.5M
tanka-0.32.0-r1.apk
2025-07-10 02:27
4.5M
ocaml-base-0.16.3-r0.apk
2024-10-25 20:11
4.6M
flare-engine-1.14-r0.apk
2024-10-25 20:11
4.6M
geonames-lang-0.3.1-r2.apk
2024-10-25 20:11
4.6M
geodns-logs-3.3.0-r14.apk
2025-07-10 02:26
4.6M
wabt-1.0.37-r0.apk
2025-04-06 21:38
4.6M
mqtt2prometheus-0.1.7-r17.apk
2025-07-10 02:27
4.6M
fq-0.13.0-r6.apk
2025-07-10 02:26
4.6M
ocaml-higlo-0.9-r0.apk
2024-10-25 20:12
4.7M
ocaml-ppx_deriving-5.3.0-r0.apk
2024-10-25 20:12
4.7M
py3-litex-hub-pythondata-software-picolibc-2024.04-r0.apk
2024-10-25 20:12
4.7M
atac-0.18.1-r0.apk
2024-11-25 21:58
4.7M
acmetool-0.2.2-r14.apk
2025-07-10 02:26
4.7M
cargo-udeps-0.1.57-r0.apk
2025-07-14 13:20
4.7M
flint-3.3.1-r0.apk
2025-06-17 04:32
4.8M
ocaml-cpdf-2.8.1-r0.apk
2025-05-08 12:15
4.8M
tqm-1.13.0-r1.apk
2025-07-10 02:27
4.8M
meli-0.8.11-r0.apk
2025-05-04 14:16
4.8M
reg-0.16.1-r29.apk
2025-07-10 02:27
4.8M
kubectl-krew-0.4.5-r3.apk
2025-07-10 02:27
4.8M
templ-0.3.850-r3.apk
2025-07-10 02:27
4.9M
gx-0.14.3-r31.apk
2025-07-10 02:27
4.9M
cargo-shuttle-0.56.3-r0.apk
2025-07-22 11:45
4.9M
wiimms-szs-tools-2.26a-r0.apk
2024-10-25 20:13
4.9M
ocaml-uucp-14.0.0-r2.apk
2024-10-25 20:12
4.9M
abc-0_git20240102-r0.apk
2024-10-25 20:10
4.9M
prometheus-smartctl-exporter-0.14.0-r1.apk
2025-07-10 02:27
4.9M
py3-nwdiag-3.0.0-r3.apk
2024-10-25 20:12
4.9M
py3-language-data-1.3.0-r0.apk
2024-12-01 20:08
5.0M
swi-prolog-9.2.9-r0.apk
2024-12-21 10:10
5.0M
dhewm3-1.5.4-r0.apk
2025-02-17 09:34
5.0M
ostui-1.0.3-r2.apk
2025-05-12 07:42
5.0M
fathom-1.3.1-r14.apk
2025-07-10 02:26
5.0M
prometheus-opnsense-exporter-0.0.8-r1.apk
2025-07-10 02:27
5.0M
envconsul-0.13.3-r4.apk
2025-07-10 02:26
5.0M
elementary-icon-theme-8.1.0-r0.apk
2025-05-13 06:39
5.0M
tfupdate-0.8.2-r7.apk
2025-07-10 02:27
5.0M
qtox-1.17.6-r6.apk
2024-10-25 20:12
5.1M
geodns-3.3.0-r14.apk
2025-07-10 02:26
5.1M
ocaml-camomile-data-1.0.2-r3.apk
2024-10-25 20:11
5.1M
nzbget-25.2-r0.apk
2025-07-04 22:20
5.1M
gx-go-1.9.0-r33.apk
2025-07-10 02:27
5.1M
ocp-index-1.3.6-r0.apk
2024-10-25 20:12
5.1M
ssh-cert-authority-2.0.0-r27.apk
2025-07-10 02:27
5.2M
dustracing2d-2.1.1-r1.apk
2024-10-25 20:11
5.2M
conntracct-0.2.7-r32.apk
2025-07-10 02:26
5.2M
secsipidx-dev-1.3.2-r13.apk
2025-07-10 02:27
5.3M
s5cmd-2.3.0-r4.apk
2025-07-10 02:27
5.3M
cargo-geiger-0.12.0-r0.apk
2025-05-26 11:19
5.3M
lld21-dbg-21.1.0_rc1-r1.apk
2025-07-22 15:50
5.4M
php81-pecl-couchbase-4.3.0-r0.apk
2025-06-15 04:25
5.4M
ocaml-mirage-crypto-dev-0.10.6-r3.apk
2024-10-25 20:12
5.4M
php81-pecl-grpc-1.72.0-r0.apk
2025-04-28 23:29
5.4M
ocamlnet-dev-4.1.9-r2.apk
2024-10-25 20:12
5.5M
lefthook-1.11.14-r1.apk
2025-07-10 02:27
5.5M
py3-litex-hub-pythondata-cpu-blackparrot-2024.04-r0.apk
2024-10-25 20:12
5.6M
fxfloorboard-katana-mk2-20240515-r1.apk
2024-10-25 20:11
5.6M
scalingo-1.30.0-r11.apk
2025-07-10 02:27
5.6M
pihole-6.2.3-r0.apk
2025-06-20 18:05
5.6M
oniux-0.5.0-r0.apk
2025-05-25 15:34
5.6M
speedtest-go-1.1.5-r16.apk
2025-07-10 02:27
5.7M
fluent-bit-3.1.10-r1.apk
2025-02-17 09:34
5.7M
comics-downloader-gui-0.33.8-r11.apk
2025-07-10 02:26
5.7M
rattler-build-0.18.0-r0.apk
2024-10-25 20:12
5.7M
nauty-2.9.0-r0.apk
2025-07-18 06:15
5.7M
alps-0_git20230807-r13.apk
2025-07-10 02:26
5.7M
pict-rs-0.5.19-r0.apk
2025-05-19 09:18
5.8M
kubectl-oidc_login-1.32.4-r1.apk
2025-07-10 02:27
5.8M
advancemame-data-3.9-r4.apk
2024-10-25 20:10
5.8M
singular-static-4.4.1_p2-r0.apk
2025-07-02 17:19
5.8M
rizin-libs-0.8.1-r0.apk
2025-07-01 19:19
5.8M
fplll-libs-5.5.0-r0.apk
2024-11-18 18:00
5.8M
chamo-4.0-r0.apk
2024-10-25 20:11
5.8M
pypy3-pyc-7.3.12-r0.apk
2024-10-25 20:12
5.9M
drone-cli-1.8.0-r11.apk
2025-07-10 02:26
5.9M
libsymmetrica-static-3.0.1-r2.apk
2024-10-25 20:11
5.9M
cvise-2.11.0-r0.apk
2025-03-08 13:29
5.9M
spacectl-1.12.0-r2.apk
2025-07-10 02:27
5.9M
rustic-0.9.3-r0.apk
2024-10-25 20:12
6.0M
virter-0.29.0-r1.apk
2025-07-10 02:27
6.0M
ocaml-camlpdf-2.8.1-r0.apk
2025-05-08 12:15
6.0M
ocaml-stk-0.1.0-r0.apk
2024-10-25 20:12
6.0M
qbittorrent-cli-2.2.0-r1.apk
2025-07-10 02:27
6.0M
llvm21-dev-21.1.0_rc1-r1.apk
2025-07-22 13:51
6.0M
termusic-mpv-0.7.11-r0.apk
2024-10-25 20:12
6.0M
kannel-1.5.0-r11.apk
2024-10-25 20:11
6.1M
wf-shell-0.9.0-r0.apk
2025-02-27 20:18
6.1M
upterm-server-0.14.3-r6.apk
2025-07-10 02:27
6.1M
crowdsec-sentinel-plugin-1.6.11-r0.apk
2025-07-22 21:39
6.2M
crowdsec-splunk-plugin-1.6.11-r0.apk
2025-07-22 21:39
6.2M
crowdsec-http-plugin-1.6.11-r0.apk
2025-07-22 21:39
6.2M
cargo-crev-0.26.3-r0.apk
2025-03-02 16:14
6.2M
fpc-stage0-3.2.2-r3.apk
2024-10-25 20:11
6.2M
crowdsec-slack-plugin-1.6.11-r0.apk
2025-07-22 21:39
6.2M
crowdsec-email-plugin-1.6.11-r0.apk
2025-07-22 21:39
6.2M
upterm-0.14.3-r6.apk
2025-07-10 02:27
6.2M
sydbox-utils-3.21.3-r0.apk
2024-10-25 20:12
6.3M
glow-2.1.1-r1.apk
2025-07-10 02:27
6.3M
murex-7.0.2107-r1.apk
2025-07-10 02:27
6.3M
yoshimi-2.3.3.3-r0.apk
2025-03-13 22:33
6.3M
compiz-0.9.14.2-r11.apk
2025-06-12 14:06
6.3M
theforceengine-doc-1.09.540-r1.apk
2024-10-25 20:12
6.3M
coccinelle-1.1.1-r2.apk
2024-10-25 20:11
6.4M
go-passbolt-cli-0.3.2-r4.apk
2025-07-10 02:27
6.4M
goshs-1.1.0-r1.apk
2025-07-10 02:27
6.4M
ocaml-atd-2.15.0-r0.apk
2024-10-25 20:11
6.5M
fplll-static-5.5.0-r0.apk
2024-11-18 18:00
6.5M
py3-flask-admin-1.6.1-r3.apk
2024-10-25 20:12
6.5M
clementine-1.4.1_git20250503-r0.apk
2025-06-12 14:06
6.5M
gambit-dev-4.9.5-r1.apk
2025-04-07 07:48
6.6M
lxd-client-5.0.3-r12.apk
2025-07-10 02:27
6.6M
go-jsonnet-0.21.0-r1.apk
2025-07-10 02:27
6.6M
ocaml-containers-dev-3.7-r2.apk
2024-10-25 20:12
6.6M
libdcmtk-3.6.9-r0.apk
2025-01-19 17:13
6.7M
libretro-mame2003-0_git20240904-r0.apk
2024-10-25 20:11
6.8M
sqlmap-1.9.7-r0.apk
2025-07-12 15:29
6.8M
theforceengine-1.09.540-r1.apk
2024-10-25 20:12
7.0M
zrepl-0.6.1-r12.apk
2025-07-10 02:27
7.0M
nom-2.8.0-r4.apk
2025-07-10 02:27
7.3M
mir-dev-2.21.1-r0.apk
2025-07-12 11:30
7.3M
ocaml-ocf-0.8.0-r3.apk
2024-10-25 20:12
7.4M
psst-0_git20240526-r1.apk
2024-10-25 20:12
7.4M
gb-0.4.4-r32.apk
2025-07-10 02:26
7.4M
telegram-bot-api-9.0-r0.apk
2025-04-14 01:10
7.4M
telegram-tdlib-1.8.47-r0.apk
2025-04-14 01:06
7.4M
ocaml-cohttp-tools-5.3.1-r0.apk
2024-10-25 20:12
7.4M
tauri-cli-2.4.0-r0.apk
2025-04-01 21:58
7.5M
azote-1.14.0-r0.apk
2024-12-14 20:38
7.6M
kompose-1.31.2-r11.apk
2025-07-10 02:27
7.6M
filebrowser-2.27.0-r12.apk
2025-07-10 02:26
7.7M
tabiew-0.7.1-r0.apk
2024-11-25 21:51
7.7M
ocaml-lablgtk3-3.1.2-r3.apk
2024-10-25 20:12
7.8M
py3-okonomiyaki-2.0.0-r0.apk
2024-10-25 20:12
7.9M
protoconf-0.1.7-r14.apk
2025-07-10 02:27
7.9M
desync-0.9.6-r6.apk
2025-07-10 02:26
8.0M
supercollider-3.13.0-r6.apk
2025-02-08 23:44
8.0M
glmark2-2023.01-r1.apk
2024-10-25 20:11
8.1M
ovn-24.03.1-r0.apk
2024-10-25 20:12
8.1M
kine-0.10.1-r14.apk
2025-07-10 02:27
8.3M
sish-2.16.1-r6.apk
2025-07-10 02:27
8.3M
ocaml-xtmpl-0.19.0-r0.apk
2024-10-25 20:12
8.3M
go-away-0.7.0-r0.apk
2025-06-10 18:18
8.3M
faust-2.79.3-r0.apk
2025-06-07 17:04
8.5M
py3-apicula-0.11.1-r1.apk
2024-10-25 20:12
8.5M
unicorn-2.0.1-r4.apk
2024-10-25 20:13
8.5M
transito-0.9.1-r7.apk
2025-07-10 02:27
8.6M
art_standalone-dev-0_git20250325-r2.apk
2025-07-15 17:27
8.6M
grpcurl-1.9.3-r3.apk
2025-07-10 02:27
8.6M
pixi-0.24.2-r0.apk
2024-10-25 20:12
8.6M
texlab-5.19.0-r0.apk
2024-10-25 20:12
8.6M
gdcm-doc-html-3.0.24-r1.apk
2025-07-20 10:48
8.8M
cc65-2.19-r0.apk
2024-10-25 20:11
8.9M
psi-plus-1.5.2069-r0.apk
2025-07-22 22:05
9.0M
linphone-5.3.38-r0.apk
2024-10-25 20:11
9.0M
grpcui-1.4.3-r5.apk
2025-07-10 02:27
9.0M
lumina-desktop-core-1.6.2-r0.apk
2024-10-25 20:11
9.1M
opcr-policy-0.3.0-r5.apk
2025-07-10 02:27
9.2M
oauth2-proxy-7.8.1-r3.apk
2025-07-10 02:27
9.3M
ovn-dev-24.03.1-r0.apk
2024-10-25 20:12
9.3M
anubis-1.21.1-r0.apk
2025-07-23 08:14
9.3M
cloudfoundry-cli-8.7.9-r10.apk
2025-07-10 02:26
9.4M
davmail-6.3.0-r0.apk
2025-04-17 17:47
9.4M
pspp-2.0.1-r0.apk
2024-10-25 20:12
9.4M
maxima-doc-extra-5.47.0-r9.apk
2025-07-07 21:33
9.4M
bomctl-0.1.9-r7.apk
2025-07-10 02:26
9.5M
jfrog-cli-2.45.0-r13.apk
2025-07-10 02:27
9.5M
cloudflared-2024.12.1-r7.apk
2025-07-10 02:26
9.6M
haxe-4.3.3-r1.apk
2025-03-22 14:35
9.6M
ocaml-base-dev-0.16.3-r0.apk
2024-10-25 20:11
9.6M
ocaml-stk-dev-0.1.0-r0.apk
2024-10-25 20:12
9.8M
gambit-4.9.5-r1.apk
2025-04-07 07:48
9.8M
tenv-4.7.6-r1.apk
2025-07-10 02:27
9.9M
openapi-validator-1.19.2-r0.apk
2024-10-25 20:12
9.9M
unicorn-dev-2.0.1-r4.apk
2024-10-25 20:13
10M
seed7-05.20240322-r0.apk
2024-10-25 20:12
10M
remco-0.12.5-r1.apk
2025-07-10 02:27
10M
py3-litex-hub-pythondata-cpu-cva6-2024.04-r0.apk
2024-10-25 20:12
10M
gotify-2.5.0-r7.apk
2025-07-10 02:27
10M
wine-staging-dev-10.9-r0.apk
2025-06-01 23:36
10M
ocaml-dns-tools-6.2.2-r3.apk
2024-10-25 20:12
10M
git-bug-0.8.1-r2.apk
2025-07-10 02:26
10M
libretro-fbneo-0_git20220416-r0.apk
2024-10-25 20:11
10M
docker-auth-1.13.0-r6.apk
2025-07-13 21:39
11M
singular-4.4.1_p2-r0.apk
2025-07-02 17:19
11M
undock-0.10.0-r1.apk
2025-07-10 02:27
11M
mangal-4.0.6-r19.apk
2025-07-10 02:27
11M
sc3-plugins-3.13.0-r2.apk
2025-02-08 23:44
11M
ko-0.17.1-r6.apk
2025-07-10 02:27
11M
adguardhome-0.107.62-r1.apk
2025-07-10 02:26
11M
stayrtr-0.6.2-r5.apk
2025-07-10 02:27
11M
dbmate-2.26.0-r3.apk
2025-07-10 02:26
11M
openspades-0.1.3-r5.apk
2024-10-25 20:12
11M
ocaml-gitlab-dev-0.1.8-r0.apk
2024-10-25 20:12
11M
chasquid-1.15.0-r5.apk
2025-07-10 02:26
12M
waifu2x-converter-cpp-5.3.4-r9.apk
2025-07-07 07:25
12M
gost-3.1.0-r1.apk
2025-07-10 02:27
12M
kismet-0.202307.1-r8.apk
2025-06-12 14:06
12M
mapnik-4.0.6-r1.apk
2025-06-10 12:01
12M
advancemame-3.9-r4.apk
2024-10-25 20:10
12M
noggin-model-0.1-r0.apk
2024-10-25 20:11
12M
sing-box-1.11.15-r0.apk
2025-07-10 11:56
12M
regal-0.33.1-r1.apk
2025-07-10 02:27
12M
kubeseal-0.30.0-r1.apk
2025-07-10 02:27
12M
gmic-3.5.5-r0.apk
2025-07-15 08:17
12M
rt6-6.0.0-r0.apk
2025-06-21 15:39
12M
ocaml-ppxlib-0.32.0-r0.apk
2024-10-25 20:12
12M
jackal-0.64.0-r16.apk
2025-07-10 02:27
12M
linkquisition-1.6.1-r6.apk
2025-07-10 02:27
13M
helm-unittest-0.8.2-r1.apk
2025-07-10 02:27
13M
pop-cursor-theme-3.5.1-r0.apk
2025-03-25 07:55
13M
gprbuild-22.0.0-r3.apk
2024-10-25 20:11
13M
pypy3-tests-7.3.12-r0.apk
2024-10-25 20:12
13M
httpx-1.7.0-r3.apk
2025-07-10 02:27
13M
vice-3.9-r0.apk
2025-02-24 21:15
13M
katana-1.1.3-r2.apk
2025-07-10 02:27
13M
utop-2.9.1-r4.apk
2024-10-25 20:13
13M
utop-full-2.9.1-r4.apk
2024-10-25 20:13
13M
jami-qt-20230925-r0.apk
2024-10-25 20:11
14M
ocaml-lablgtk3-dev-3.1.2-r3.apk
2024-10-25 20:12
14M
merlin-4.14-r0.apk
2024-10-25 20:11
14M
py3-jupyterlab3-3.6.7-r0.apk
2025-03-19 11:46
14M
regclient-0.8.3-r1.apk
2025-07-10 02:27
14M
gdcm-doc-pdf-3.0.24-r1.apk
2025-07-20 10:48
14M
taskcafe-0.3.6-r14.apk
2025-07-10 02:27
14M
kube-no-trouble-0.7.3-r6.apk
2025-07-10 02:27
14M
pypy3-7.3.12-r0.apk
2024-10-25 20:12
15M
pypy-7.3.12-r0.apk
2024-10-25 20:12
15M
libresprite-1.2-r0.apk
2025-04-13 22:16
15M
dnscontrol-4.22.0-r0.apk
2025-07-15 20:15
15M
ocaml-reason-3.8.2-r1.apk
2024-10-25 20:12
15M
whatsie-4.16.3-r0.apk
2025-01-12 13:12
15M
lxd-5.0.3-r12.apk
2025-07-10 02:27
15M
pypy-bootstrap-7.3.12-r0.apk
2024-10-25 20:12
16M
virtctl-1.5.1-r1.apk
2025-07-10 02:27
16M
ocaml-ppxlib-dev-0.32.0-r0.apk
2024-10-25 20:12
16M
prometheus-podman-exporter-1.17.2-r0.apk
2025-07-12 11:30
16M
plakar-1.0.2-r0.apk
2025-07-22 16:07
16M
ocamlnet-4.1.9-r2.apk
2024-10-25 20:12
16M
icestorm-0_git20240517-r0.apk
2024-10-25 20:11
17M
faust-doc-2.79.3-r0.apk
2025-06-07 17:04
17M
rt5-5.0.8-r0.apk
2025-06-15 04:44
17M
kubepug-1.7.1-r11.apk
2025-07-10 02:27
17M
reason-3.8.2-r1.apk
2024-10-25 20:12
17M
stalwart-mail-0.13.1-r0.apk
2025-07-17 07:16
17M
bestline-doc-0.0_git20211108-r0.apk
2024-10-25 20:11
18M
lomiri-sounds-22.02-r1.apk
2025-03-13 06:06
18M
ri-li-2.0.1-r1.apk
2024-10-25 20:12
18M
font-babelstone-han-15.1.3-r0.apk
2024-10-25 20:11
18M
art_standalone-0_git20250325-r2.apk
2025-07-15 17:27
19M
keybase-client-6.2.8-r11.apk
2025-07-10 02:27
19M
hubble-cli-0.13.6-r6.apk
2025-07-10 02:27
19M
stern-1.32.0-r5.apk
2025-07-10 02:27
19M
mame-data-0.251-r0.apk
2024-10-25 20:11
19M
bettercap-2.41.0-r3.apk
2025-07-10 02:26
19M
zafiro-icon-theme-1.3-r0.apk
2024-10-25 20:13
19M
py3-litex-hub-pythondata-cpu-microwatt-2024.04-r0.apk
2024-10-25 20:12
19M
telegram-tdlib-static-1.8.47-r0.apk
2025-04-14 01:06
20M
helm-ls-0.4.1-r0.apk
2025-07-19 10:17
20M
wtfutil-0.43.0-r14.apk
2025-07-10 02:27
20M
py3-plotly-5.24.1-r2.apk
2025-04-18 22:10
20M
yosys-0.42-r1.apk
2025-06-12 14:06
21M
helm-mapkubeapis-0.5.2-r7.apk
2025-07-10 02:27
21M
libretro-scummvm-0_git20210325-r0.apk
2024-10-25 20:11
21M
rke-1.4.3-r16.apk
2025-07-10 02:27
21M
py3-qgis-3.40.8-r1.apk
2025-07-04 22:17
21M
avara-0.7.1-r1.apk
2024-11-04 08:53
21M
maxima-5.47.0-r9.apk
2025-07-07 21:33
22M
merlin-dev-4.14-r0.apk
2024-10-25 20:11
22M
mint-x-icons-1.7.2-r0.apk
2024-12-24 10:07
22M
angband-4.2.5-r0.apk
2024-10-25 20:10
23M
helm-diff-3.11.0-r2.apk
2025-07-10 02:27
23M
ovn-dbg-24.03.1-r0.apk
2024-10-25 20:12
23M
clang21-libclang-21.1.0_rc1-r1.apk
2025-07-22 15:50
23M
reason-rtop-3.8.2-r1.apk
2024-10-25 20:12
24M
timoni-0.23.0-r6.apk
2025-07-10 02:27
25M
knative-client-1.18.0-r1.apk
2025-07-10 02:27
25M
nextpnr-ecp5-0.7-r0.apk
2024-10-25 20:11
25M
lxd-scripts-5.0.3-r12.apk
2025-07-10 02:27
27M
nsq-1.3.0-r10.apk
2025-05-12 07:42
27M
seaweedfs-3.93-r0.apk
2025-07-13 20:44
27M
kubeone-1.10.0-r1.apk
2025-07-10 02:27
28M
thelounge-4.4.3-r0.apk
2024-10-25 20:12
28M
ocaml-reason-dev-3.8.2-r1.apk
2024-10-25 20:12
28M
otrs-6.0.48-r2.apk
2024-10-25 20:12
29M
spark-2.8.3-r1.apk
2024-10-25 20:12
29M
font-hanazono-20170904-r1.apk
2024-10-25 20:11
29M
popeye-0.22.1-r5.apk
2025-07-10 02:27
29M
cfssl-1.6.5-r6.apk
2025-07-10 02:26
30M
vals-0.41.2-r1.apk
2025-07-10 02:27
30M
oxygen-icons-6.1.0-r0.apk
2024-10-25 20:12
32M
liquibase-4.9.1-r0.apk
2024-10-25 20:11
32M
zfs-src-2.3.3-r0.apk
2025-06-21 14:06
33M
stone-soup-0.32.1-r0.apk
2024-10-25 20:12
33M
qgis-lang-3.40.8-r1.apk
2025-07-04 22:17
33M
cdogs-sdl-2.1.0-r0.apk
2024-10-25 20:11
34M
efl-1.28.1-r2.apk
2025-03-23 15:03
34M
yaru-icon-theme-25.10.1-r0.apk
2025-07-13 20:19
35M
crowdsec-1.6.11-r0.apk
2025-07-22 21:39
37M
clang21-libs-21.1.0_rc1-r1.apk
2025-07-22 15:50
38M
ma1sd-2.5.0-r3.apk
2024-10-25 20:11
38M
extremetuxracer-0.8.3-r0.apk
2024-10-25 20:11
40M
clang21-extra-tools-21.1.0_rc1-r1.apk
2025-07-22 15:50
40M
llvm21-21.1.0_rc1-r1.apk
2025-07-22 13:51
46M
godot-templates-4.4.1-r0.apk
2025-05-09 21:25
47M
starfighter-2.4-r0.apk
2024-10-25 20:12
48M
qgis-3.40.8-r1.apk
2025-07-04 22:17
48M
helmfile-1.1.2-r1.apk
2025-07-10 02:27
49M
qt-creator-17.0.0-r0.apk
2025-07-13 20:19
51M
mame-mess-0.251-r0.apk
2024-10-25 20:11
52M
php85-dbg-8.5.0_alpha2-r0.apk
2025-07-16 04:02
53M
solarus-quest-editor-1.7.0-r1.apk
2025-02-20 18:40
56M
cilium-cli-0.16.13-r6.apk
2025-07-10 02:26
57M
py3-litex-hub-pythondata-cpu-rocket-2024.04-r0.apk
2024-10-25 20:12
57M
godot-4.4.1-r0.apk
2025-05-09 21:25
58M
stockfish-17-r0.apk
2025-03-03 22:41
62M
mame-arcade-0.251-r0.apk
2024-10-25 20:11
65M
hashcat-6.2.6-r0.apk
2024-10-25 20:11
67M
llvm21-libs-21.1.0_rc1-r1.apk
2025-07-22 13:51
67M
trivy-0.64.1-r1.apk
2025-07-10 02:27
68M
wine-staging-10.9-r0.apk
2025-06-01 23:36
68M
nextpnr-ice40-0.7-r0.apk
2024-10-25 20:11
69M
fpc-3.2.2-r4.apk
2024-10-25 20:11
70M
mint-y-icons-1.8.3-r0.apk
2025-02-05 22:20
72M
lxd-feature-5.20-r12.apk
2025-07-10 02:27
73M
wine-mono-10.0.0-r0.apk
2025-05-09 16:26
80M
piglit-0_git20241106-r1.apk
2025-05-12 21:41
89M
mame-0.251-r0.apk
2024-10-25 20:11
94M
llvm21-static-21.1.0_rc1-r1.apk
2025-07-22 13:51
104M
moka-icon-theme-5.4.0-r2.apk
2024-10-25 20:11
114M
art_standalone-dbg-0_git20250325-r2.apk
2025-07-15 17:27
131M
clang21-static-21.1.0_rc1-r1.apk
2025-07-22 15:50
132M
srb2-data-2.2.15-r1.apk
2025-04-11 18:13
160M
endless-sky-0.10.2-r0.apk
2024-10-25 20:11
242M
trigger-rally-data-0.6.7-r3.apk
2025-03-25 15:24
352M
qt-creator-dbg-17.0.0-r0.apk
2025-07-13 20:19
496M
sauerbraten-2020.12.29-r4.apk
2025-02-20 18:40
934M