Index of /alpinelinux/edge/testing/aarch64

[ICO]NameLast modifiedSizeDescription

[PARENTDIR]Parent Directory  -  
[   ]3proxy-0.9.4-r2.apk2025-04-04 05:40 337K 
[   ]3proxy-doc-0.9.4-r2.apk2025-04-04 05:40 25K 
[   ]3proxy-openrc-0.9.4-r2.apk2025-04-04 05:40 1.6K 
[   ]66-0.8.2.1-r0.apk2025-06-03 19:11 301K 
[   ]66-dbg-0.8.2.1-r0.apk2025-06-03 19:11 756K 
[   ]66-dev-0.8.2.1-r0.apk2025-06-03 19:11 1.7M 
[   ]66-doc-0.8.2.1-r0.apk2025-06-03 19:11 190K 
[   ]66-init-0.8.2.1-r0.apk2025-06-03 19:11 1.5K 
[   ]66-tools-0.1.2.0-r0.apk2025-06-01 15:50 69K 
[   ]66-tools-dbg-0.1.2.0-r0.apk2025-06-01 15:50 109K 
[   ]66-tools-dev-0.1.2.0-r0.apk2025-06-01 15:50 2.0K 
[   ]66-tools-doc-0.1.2.0-r0.apk2025-06-01 15:50 39K 
[   ]66-tools-nsrules-0.1.2.0-r0.apk2025-06-01 15:50 2.9K 
[   ]APKINDEX.tar.gz2025-07-25 16:54 1.0M 
[   ]a2jmidid-9-r3.apk2024-10-25 19:08 28K 
[   ]a2jmidid-doc-9-r3.apk2024-10-25 19:08 4.2K 
[   ]ab-tidy-0.1.0-r2.apk2025-02-23 21:00 102K 
[   ]abc-0_git20240102-r0.apk2024-10-25 19:08 4.9M 
[   ]abnfgen-0.21-r0.apk2025-05-27 21:41 18K 
[   ]abnfgen-doc-0.21-r0.apk2025-05-27 21:41 5.1K 
[   ]ace-of-penguins-1.4-r3.apk2024-10-25 19:08 182K 
[   ]ace-of-penguins-doc-1.4-r3.apk2024-10-25 19:08 49K 
[   ]acmetool-0.2.2-r14.apk2025-07-12 06:30 4.3M 
[   ]acmetool-doc-0.2.2-r14.apk2025-07-12 06:30 47K 
[   ]adbd-0_git20250325-r2.apk2025-07-15 17:26 41K 
[   ]adguardhome-0.107.62-r1.apk2025-07-12 06:30 10M 
[   ]adguardhome-openrc-0.107.62-r1.apk2025-07-12 06:30 2.2K 
[   ]adjtimex-1.29-r0.apk2024-10-25 19:08 18K 
[   ]adjtimex-doc-1.29-r0.apk2024-10-25 19:08 7.1K 
[   ]admesh-0.98.5-r0.apk2024-10-25 19:08 27K 
[   ]admesh-dev-0.98.5-r0.apk2024-10-25 19:08 4.0K 
[   ]admesh-doc-0.98.5-r0.apk2024-10-25 19:08 23K 
[   ]advancemame-3.9-r4.apk2024-10-25 19:08 13M 
[   ]advancemame-data-3.9-r4.apk2024-10-25 19:08 5.8M 
[   ]advancemame-doc-3.9-r4.apk2024-10-25 19:08 374K 
[   ]advancemame-menu-3.9-r4.apk2024-10-25 19:08 837K 
[   ]advancemame-mess-3.9-r4.apk2024-10-25 19:08 3.6M 
[   ]advancescan-1.18-r1.apk2024-10-25 19:08 260K 
[   ]advancescan-doc-1.18-r1.apk2024-10-25 19:08 7.3K 
[   ]afetch-2.2.0-r1.apk2024-10-25 19:08 9.0K 
[   ]afetch-doc-2.2.0-r1.apk2024-10-25 19:08 14K 
[   ]afew-3.0.1-r0.apk2025-05-18 22:30 73K 
[   ]afew-doc-3.0.1-r0.apk2025-05-18 22:30 12K 
[   ]agate-3.3.8-r0.apk2024-10-25 19:08 856K 
[   ]agate-openrc-3.3.8-r0.apk2024-10-25 19:08 2.0K 
[   ]agrep-0.8.0-r2.apk2024-10-25 19:08 8.3K 
[   ]agrep-doc-0.8.0-r2.apk2024-10-25 19:08 4.1K 
[   ]aide-0.18.8-r0.apk2024-10-25 19:08 77K 
[   ]aide-doc-0.18.8-r0.apk2024-10-25 19:08 14K 
[   ]alarmwakeup-0.2.1-r0.apk2024-10-25 19:08 6.7K 
[   ]alarmwakeup-dbg-0.2.1-r0.apk2024-10-25 19:08 18K 
[   ]alarmwakeup-dev-0.2.1-r0.apk2024-10-25 19:08 2.6K 
[   ]alarmwakeup-libs-0.2.1-r0.apk2024-10-25 19:08 4.6K 
[   ]alarmwakeup-utils-0.2.1-r0.apk2024-10-25 19:08 4.2K 
[   ]alpine-lift-0.2.0-r24.apk2025-07-12 06:30 3.5M 
[   ]alps-0_git20230807-r13.apk2025-07-12 06:30 5.3M 
[   ]alps-openrc-0_git20230807-r13.apk2025-07-12 06:30 2.0K 
[   ]alttab-1.7.1-r0.apk2024-10-25 19:08 38K 
[   ]alttab-doc-1.7.1-r0.apk2024-10-25 19:08 10K 
[   ]amber-0.4.0-r0.apk2025-06-19 00:45 497K 
[   ]amber-mpris-1.2.9-r0.apk2024-12-22 16:48 203K 
[   ]amber-mpris-dev-1.2.9-r0.apk2024-12-22 16:48 6.7K 
[   ]amdgpu-fan-0.1.0-r5.apk2024-10-25 19:08 14K 
[   ]amdgpu-fan-pyc-0.1.0-r5.apk2024-10-25 19:08 9.6K 
[   ]amdgpu_top-0.10.5-r0.apk2025-06-12 21:51 6.8M 
[   ]amdgpu_top-doc-0.10.5-r0.apk2025-06-12 21:51 4.0K 
[   ]amiitool-2-r2.apk2024-10-25 19:08 7.3K 
[   ]ampy-1.1.0-r6.apk2025-03-26 11:50 15K 
[   ]ampy-doc-1.1.0-r6.apk2025-03-26 11:50 4.1K 
[   ]ampy-pyc-1.1.0-r6.apk2025-03-26 11:50 19K 
[   ]amule-2.3.3-r13.apk2024-10-25 19:08 4.2M 
[   ]amule-doc-2.3.3-r13.apk2024-10-25 19:08 281K 
[   ]amule-lang-2.3.3-r13.apk2024-10-25 19:08 1.6M 
[   ]anarch-1.0-r1.apk2024-10-25 19:08 91K 
[   ]anarch-doc-1.0-r1.apk2024-10-25 19:08 18K 
[   ]anari-sdk-0.7.2-r0.apk2024-10-25 19:08 287K 
[   ]anari-sdk-dev-0.7.2-r0.apk2024-10-25 19:08 59K 
[   ]anari-sdk-static-0.7.2-r0.apk2024-10-25 19:08 187K 
[   ]android-apkeep-0.17.0-r0.apk2024-10-25 19:08 1.9M 
[   ]android-apktool-2.12.0-r0.apk2025-07-06 14:14 24M 
[   ]android-file-transfer-4.5-r0.apk2025-06-24 23:02 194K 
[   ]android-file-transfer-cli-4.5-r0.apk2025-06-24 23:02 110K 
[   ]android-file-transfer-dev-4.5-r0.apk2025-06-24 23:02 1.6K 
[   ]android-file-transfer-libs-4.5-r0.apk2025-06-24 23:02 128K 
[   ]android-translation-layer-0_git20250402-r0.apk2025-04-02 17:09 2.7M 
[   ]android-translation-layer-dbg-0_git20250402-r0.apk2025-04-02 17:09 804K 
[   ]angband-4.2.5-r0.apk2024-10-25 19:08 23M 
[   ]ansible-bender-0.10.1-r2.apk2024-10-25 19:08 36K 
[   ]ansible-bender-doc-0.10.1-r2.apk2024-10-25 19:08 10K 
[   ]ansible-bender-pyc-0.10.1-r2.apk2024-10-25 19:08 65K 
[   ]ansiweather-1.19.0-r1.apk2024-10-25 19:08 4.7K 
[   ]ansiweather-doc-1.19.0-r1.apk2024-10-25 19:08 3.0K 
[   ]antibody-6.1.1-r29.apk2025-07-12 06:30 1.7M 
[   ]antimicrox-3.5.1-r0.apk2025-06-13 15:19 1.6M 
[   ]antimicrox-doc-3.5.1-r0.apk2025-06-13 15:19 24K 
[   ]anubis-1.21.3-r0.apk2025-07-25 16:54 8.4M 
[   ]anubis-doc-1.21.3-r0.apk2025-07-25 16:54 2.3K 
[   ]anubis-openrc-1.21.3-r0.apk2025-07-25 16:54 1.8K 
[   ]aoetools-37-r2.apk2025-01-14 15:34 25K 
[   ]aoetools-doc-37-r2.apk2025-01-14 15:34 14K 
[   ]apache-mod-auth-gssapi-1.6.5-r1.apk2024-10-25 19:08 60K 
[   ]apache-mod-auth-openidc-2.4.16.11-r1.apk2025-05-22 06:53 221K 
[   ]apache-mod-auth-openidc-doc-2.4.16.11-r1.apk2025-05-22 06:53 4.4K 
[   ]apache-mod-auth-openidc-static-2.4.16.11-r1.apk2025-05-22 06:53 315K 
[   ]apache2-mod-authnz-external-3.3.3-r0.apk2024-10-25 19:08 7.9K 
[   ]apache2-mod-authnz-external-doc-3.3.3-r0.apk2024-10-25 19:08 10K 
[   ]apache2-mod-maxminddb-1.2.0-r0.apk2025-05-19 10:23 11K 
[   ]apache2-mod-perl-2.0.13-r2.apk2025-06-30 07:25 732K 
[   ]apache2-mod-perl-dbg-2.0.13-r2.apk2025-06-30 07:25 71K 
[   ]apache2-mod-perl-dev-2.0.13-r2.apk2025-06-30 07:25 38K 
[   ]apache2-mod-perl-doc-2.0.13-r2.apk2025-06-30 07:25 304K 
[   ]apache2-mod-realdoc-1-r1.apk2024-10-25 19:08 4.6K 
[   ]apk-autoupdate-0_git20210421-r1.apk2024-11-20 00:45 14K 
[   ]apk-autoupdate-doc-0_git20210421-r1.apk2024-11-20 00:45 7.0K 
[   ]apk-snap-3.1.1-r0.apk2024-10-25 19:08 6.6K 
[   ]apk-snap-doc-3.1.1-r0.apk2024-10-25 19:08 20K 
[   ]apmpkg-1.5.1-r3.apk2024-10-25 19:08 1.6M 
[   ]apmpkg-bash-completion-1.5.1-r3.apk2024-10-25 19:08 2.2K 
[   ]apmpkg-doc-1.5.1-r3.apk2024-10-25 19:08 3.1K 
[   ]apmpkg-fish-completion-1.5.1-r3.apk2024-10-25 19:08 2.1K 
[   ]apmpkg-zsh-completion-1.5.1-r3.apk2024-10-25 19:08 2.4K 
[   ]aports-glmr-0.2-r29.apk2025-07-12 06:30 2.4M 
[   ]apostrophe-3.2-r0.apk2024-10-25 19:08 162K 
[   ]apostrophe-lang-3.2-r0.apk2024-10-25 19:08 198K 
[   ]apostrophe-pyc-3.2-r0.apk2024-10-25 19:08 134K 
[   ]apostrophe-revealjs-5.2.1-r0.apk2025-07-03 10:58 2.4M 
[   ]appcenter-8.0.0-r0.apk2024-11-12 21:56 399K 
[   ]appcenter-lang-8.0.0-r0.apk2024-11-12 21:56 258K 
[   ]aprilsh-0.7.12-r6.apk2025-07-12 06:30 1.6K 
[   ]aprilsh-client-0.7.12-r6.apk2025-07-12 06:30 3.1M 
[   ]aprilsh-doc-0.7.12-r6.apk2025-07-12 06:30 14K 
[   ]aprilsh-openrc-0.7.12-r6.apk2025-07-12 06:30 1.8K 
[   ]aprilsh-server-0.7.12-r6.apk2025-07-12 06:30 2.3M 
[   ]apt-dater-1.0.4-r4.apk2024-10-25 19:08 57K 
[   ]apt-dater-doc-1.0.4-r4.apk2024-10-25 19:08 9.9K 
[   ]apt-dater-lang-1.0.4-r4.apk2024-10-25 19:08 13K 
[   ]apt-mirror-0.5.4-r0.apk2024-10-25 19:08 9.4K 
[   ]apt-mirror-doc-0.5.4-r0.apk2024-10-25 19:08 4.6K 
[   ]apt-swarm-0.5.1-r0.apk2025-05-26 10:55 2.8M 
[   ]apt-swarm-bash-completion-0.5.1-r0.apk2025-05-26 10:55 4.2K 
[   ]apt-swarm-fish-completion-0.5.1-r0.apk2025-05-26 10:55 5.7K 
[   ]apt-swarm-openrc-0.5.1-r0.apk2025-05-26 10:55 1.9K 
[   ]apt-swarm-zsh-completion-0.5.1-r0.apk2025-05-26 10:55 5.9K 
[   ]aptdec-1.8.0-r1.apk2025-02-08 23:46 85K 
[   ]aptdec-dev-1.8.0-r1.apk2025-02-08 23:46 3.4K 
[   ]aptdec-libs-1.8.0-r1.apk2025-02-08 23:46 15K 
[   ]apulse-0.1.13-r2.apk2024-10-25 19:08 44K 
[   ]apulse-doc-0.1.13-r2.apk2024-10-25 19:08 2.8K 
[   ]apx-2.4.5-r1.apk2025-07-12 06:30 3.3M 
[   ]apx-doc-2.4.5-r1.apk2025-07-12 06:30 2.4K 
[   ]aqemu-0.9.4-r3.apk2024-10-25 19:08 1.6M 
[   ]aqemu-doc-0.9.4-r3.apk2024-10-25 19:08 7.5K 
[   ]aravis-0.8.31-r0.apk2024-10-25 19:08 49K 
[   ]aravis-dev-0.8.31-r0.apk2024-10-25 19:08 34K 
[   ]aravis-libs-0.8.31-r0.apk2024-10-25 19:08 182K 
[   ]aravis-viewer-0.8.31-r0.apk2024-10-25 19:08 67K 
[   ]aravis-viewer-lang-0.8.31-r0.apk2024-10-25 19:08 16K 
[   ]arc-20221218-r0.apk2024-10-25 19:08 1.7K 
[   ]arc-cinnamon-20221218-r0.apk2024-10-25 19:08 68K 
[   ]arc-dark-20221218-r0.apk2024-10-25 19:08 1.7K 
[   ]arc-dark-cinnamon-20221218-r0.apk2024-10-25 19:08 68K 
[   ]arc-dark-gnome-20221218-r0.apk2024-10-25 19:08 27K 
[   ]arc-dark-gtk2-20221218-r0.apk2024-10-25 19:08 38K 
[   ]arc-dark-gtk3-20221218-r0.apk2024-10-25 19:08 93K 
[   ]arc-dark-gtk4-20221218-r0.apk2024-10-25 19:08 86K 
[   ]arc-dark-metacity-20221218-r0.apk2024-10-25 19:08 17K 
[   ]arc-dark-xfwm-20221218-r0.apk2024-10-25 19:08 7.9K 
[   ]arc-darker-20221218-r0.apk2024-10-25 19:08 1.8K 
[   ]arc-darker-gtk2-20221218-r0.apk2024-10-25 19:08 39K 
[   ]arc-darker-gtk3-20221218-r0.apk2024-10-25 19:08 124K 
[   ]arc-darker-gtk4-20221218-r0.apk2024-10-25 19:08 110K 
[   ]arc-darker-metacity-20221218-r0.apk2024-10-25 19:08 17K 
[   ]arc-darker-xfwm-20221218-r0.apk2024-10-25 19:08 7.9K 
[   ]arc-gnome-20221218-r0.apk2024-10-25 19:08 29K 
[   ]arc-gtk2-20221218-r0.apk2024-10-25 19:08 38K 
[   ]arc-gtk3-20221218-r0.apk2024-10-25 19:08 126K 
[   ]arc-gtk4-20221218-r0.apk2024-10-25 19:08 114K 
[   ]arc-icon-theme-20161122-r0.apk2024-10-25 19:08 4.4M 
[   ]arc-lighter-20221218-r0.apk2024-10-25 19:08 1.8K 
[   ]arc-lighter-gtk2-20221218-r0.apk2024-10-25 19:08 38K 
[   ]arc-lighter-gtk3-20221218-r0.apk2024-10-25 19:08 125K 
[   ]arc-lighter-gtk4-20221218-r0.apk2024-10-25 19:08 113K 
[   ]arc-lighter-metacity-20221218-r0.apk2024-10-25 19:08 17K 
[   ]arc-lighter-xfwm-20221218-r0.apk2024-10-25 19:08 7.7K 
[   ]arc-metacity-20221218-r0.apk2024-10-25 19:08 17K 
[   ]arc-theme-20221218-r0.apk2024-10-25 19:08 1.4K 
[   ]arc-xfwm-20221218-r0.apk2024-10-25 19:08 7.7K 
[   ]argocd-3.0.11-r1.apk2025-07-13 21:42 40M 
[   ]argocd-bash-completion-3.0.11-r1.apk2025-07-13 21:42 21K 
[   ]argocd-doc-3.0.11-r1.apk2025-07-13 21:42 5.5K 
[   ]argocd-zsh-completion-3.0.11-r1.apk2025-07-13 21:42 4.0K 
[   ]arj-0_git20220125-r1.apk2024-10-25 19:08 137K 
[   ]arj-doc-0_git20220125-r1.apk2024-10-25 19:08 10K 
[   ]armagetronad-0.2.9.1.1-r0.apk2024-10-25 19:08 1.6M 
[   ]armagetronad-doc-0.2.9.1.1-r0.apk2024-10-25 19:08 92K 
[   ]art_standalone-0_git20250325-r2.apk2025-07-15 17:26 18M 
[   ]art_standalone-dbg-0_git20250325-r2.apk2025-07-15 17:26 139M 
[   ]art_standalone-dev-0_git20250325-r2.apk2025-07-15 17:26 8.6M 
[   ]asahi-fwextract-0.7.1-r0.apk2024-10-25 19:08 55K 
[   ]asahi-scripts-20240822-r0.apk2024-10-25 19:08 8.0K 
[   ]asdf-0.18.0-r1.apk2025-07-12 06:30 1.5M 
[   ]asdf-doc-0.18.0-r1.apk2025-07-12 06:30 2.2K 
[   ]aspell-es-1.11-r0.apk2024-10-25 19:08 533K 
[   ]aspnetcore6-runtime-6.0.36-r1.apk2024-11-25 04:51 8.3M 
[   ]aspnetcore6-targeting-pack-6.0.36-r1.apk2024-11-25 04:51 2.0M 
[   ]asymptote-3.04-r0.apk2025-05-31 06:51 1.4M 
[   ]asymptote-doc-3.04-r0.apk2025-05-31 06:51 3.1M 
[   ]atac-0.18.1-r0.apk2024-11-25 21:57 4.6M 
[   ]atlantik-3.5.10_git20240323-r0.apk2024-10-25 19:08 391K 
[   ]atlantik-doc-3.5.10_git20240323-r0.apk2024-10-25 19:08 79K 
[   ]atlantik-lang-3.5.10_git20240323-r0.apk2024-10-25 19:08 69K 
[   ]atomicparsley-20240608-r0.apk2024-10-25 19:08 119K 
[   ]atool-0.39.0-r4.apk2024-10-25 19:08 18K 
[   ]atool-bash-completion-0.39.0-r4.apk2024-10-25 19:08 2.0K 
[   ]atool-doc-0.39.0-r4.apk2024-10-25 19:08 9.6K 
[   ]aufs-util-20161219-r3.apk2024-10-25 19:08 224K 
[   ]aufs-util-dev-20161219-r3.apk2024-10-25 19:08 1.5K 
[   ]aufs-util-doc-20161219-r3.apk2024-10-25 19:08 34K 
[   ]authenticator-rs-0.7.5-r0.apk2024-10-25 19:08 1.9M 
[   ]authenticator-rs-lang-0.7.5-r0.apk2024-10-25 19:08 3.7K 
[   ]autoconf-policy-0.1-r0.apk2024-10-25 19:08 5.5K 
[   ]autoremove-torrents-1.5.5-r0.apk2024-10-25 19:08 35K 
[   ]autoremove-torrents-doc-1.5.5-r0.apk2024-10-25 19:08 12K 
[   ]autoremove-torrents-pyc-1.5.5-r0.apk2024-10-25 19:08 54K 
[   ]autorestic-1.8.3-r6.apk2025-07-12 06:30 3.6M 
[   ]autoscan-1.4.0-r12.apk2025-07-12 06:30 5.1M 
[   ]autoscan-openrc-1.4.0-r12.apk2025-07-12 06:30 2.0K 
[   ]autotrash-0.4.7-r0.apk2024-10-25 19:08 23K 
[   ]autotrash-pyc-0.4.7-r0.apk2024-10-25 19:08 14K 
[   ]avahi2dns-0.1.0-r2.apk2025-07-12 06:30 2.3M 
[   ]avahi2dns-openrc-0.1.0-r2.apk2025-07-12 06:30 1.8K 
[   ]avara-0.7.1-r1.apk2024-11-04 08:52 21M 
[   ]avarice-2.14-r4.apk2024-10-25 19:08 67K 
[   ]avarice-doc-2.14-r4.apk2024-10-25 19:08 9.4K 
[   ]avra-1.4.2-r0.apk2024-10-25 19:08 40K 
[   ]avra-dev-1.4.2-r0.apk2024-10-25 19:08 255K 
[   ]aws-lc-1.56.0-r0.apk2025-07-23 08:13 1.3M 
[   ]aws-lc-dev-1.56.0-r0.apk2025-07-23 08:13 418K 
[   ]aws-lc-tools-1.56.0-r0.apk2025-07-23 08:13 139K 
[   ]azote-1.14.0-r0.apk2024-12-14 20:38 7.6M 
[   ]azote-pyc-1.14.0-r0.apk2024-12-14 20:38 98K 
[   ]azpainter-3.0.11-r0.apk2025-02-22 16:07 786K 
[   ]azpainter-doc-3.0.11-r0.apk2025-02-22 16:07 42K 
[   ]azure-iot-sdk-c-static-1.11.0-r0.apk2024-10-25 19:08 804K 
[   ]b2-tools-4.3.2-r0.apk2025-05-03 20:02 72K 
[   ]b2-tools-pyc-4.3.2-r0.apk2025-05-03 20:02 136K 
[   ]b2sum-20190729-r2.apk2024-10-25 19:08 15K 
[   ]b2sum-doc-20190729-r2.apk2024-10-25 19:08 2.7K 
[   ]backup-manager-0.7.15-r1.apk2024-10-25 19:08 55K 
[   ]bacon-3.16.0-r0.apk2025-06-23 13:33 1.7M 
[   ]baikal-0.10.1-r1.apk2025-05-27 21:41 1.3M 
[   ]baikal-mysql-0.10.1-r1.apk2025-05-27 21:41 1.3K 
[   ]baikal-pgsql-0.10.1-r1.apk2025-05-27 21:41 1.3K 
[   ]baikal-sqlite-0.10.1-r1.apk2025-05-27 21:41 1.4K 
[   ]bake-2.5.1-r0.apk2024-10-25 19:08 110K 
[   ]bakelite-0.4.2-r0.apk2024-10-25 19:08 33K 
[   ]bananui-2.0.0-r0.apk2024-10-25 19:08 56K 
[   ]bananui-clock-0.1.0-r0.apk2024-10-25 19:08 7.4K 
[   ]bananui-daemons-0.1.0-r0.apk2024-10-25 19:08 45K 
[   ]bananui-dbg-2.0.0-r0.apk2024-10-25 19:08 172K 
[   ]bananui-demos-2.0.0-r0.apk2024-10-25 19:08 11K 
[   ]bananui-dev-2.0.0-r0.apk2024-10-25 19:08 88K 
[   ]bananui-shell-0.2.0-r0.apk2024-10-25 19:08 107K 
[   ]baresip-3.20.0-r1.apk2025-05-31 22:31 1.1M 
[   ]baresip-dev-3.20.0-r1.apk2025-05-31 22:31 16K 
[   ]barman-3.14.1-r0.apk2025-06-25 14:18 361K 
[   ]barman-bash-completion-3.14.1-r0.apk2025-06-25 14:18 1.6K 
[   ]barman-doc-3.14.1-r0.apk2025-06-25 14:18 85K 
[   ]barman-pyc-3.14.1-r0.apk2025-06-25 14:18 572K 
[   ]barnyard2-2.1.14_git20160413-r1.apk2024-10-25 19:08 125K 
[   ]barnyard2-openrc-2.1.14_git20160413-r1.apk2024-10-25 19:08 2.8K 
[   ]barrier-2.4.0-r2.apk2025-02-17 15:07 940K 
[   ]barrier-doc-2.4.0-r2.apk2025-02-17 15:07 13K 
[   ]bartib-1.0.1-r1.apk2024-10-25 19:08 338K 
[   ]base64c-0.2.1-r0.apk2024-10-25 19:08 4.5K 
[   ]base64c-dev-0.2.1-r0.apk2024-10-25 19:08 5.4K 
[   ]bash-pinyin-completion-rs-0.2.3-r0.apk2025-05-03 20:02 600K 
[   ]bash-pinyin-completion-rs-doc-0.2.3-r0.apk2025-05-03 20:02 14K 
[   ]batmon-0.0.1-r0.apk2024-10-25 19:08 422K 
[   ]battery-limit-openrc-1-r0.apk2025-06-17 11:01 1.8K 
[   ]bazel7-7.6.1-r1.apk2025-04-18 04:55 29M 
[   ]bazel7-bash-completion-7.6.1-r1.apk2025-04-18 04:55 48K 
[   ]bazel8-8.3.1-r0.apk2025-07-04 09:28 32M 
[   ]bazel8-bash-completion-8.3.1-r0.apk2025-07-04 09:28 57K 
[   ]bcg729-1.1.1-r0.apk2024-10-25 19:08 34K 
[   ]bcg729-dev-1.1.1-r0.apk2024-10-25 19:08 3.5K 
[   ]bchunk-1.2.2-r3.apk2024-10-25 19:08 7.3K 
[   ]bchunk-doc-1.2.2-r3.apk2024-10-25 19:08 3.0K 
[   ]bdfr-2.6.2-r1.apk2024-10-25 19:08 131K 
[   ]beancount-language-server-1.3.6-r0.apk2024-11-05 23:37 1.2M 
[   ]beard-0.4-r0.apk2024-10-25 19:08 3.1K 
[   ]beard-doc-0.4-r0.apk2024-10-25 19:08 2.5K 
[   ]bees-0.10-r2.apk2024-10-25 19:08 276K 
[   ]bees-openrc-0.10-r2.apk2024-10-25 19:08 1.9K 
[   ]belcard-5.3.105-r0.apk2025-02-25 12:48 16K 
[   ]belcard-dev-5.3.105-r0.apk2025-02-25 12:48 11K 
[   ]belcard-libs-5.3.105-r0.apk2025-02-25 12:48 204K 
[   ]belle-sip-5.3.105-r0.apk2025-02-25 12:52 584K 
[   ]belle-sip-dev-5.3.105-r0.apk2025-02-25 12:52 54K 
[   ]belr-5.3.105-r0.apk2025-02-25 12:52 110K 
[   ]belr-dev-5.3.105-r0.apk2025-02-25 12:52 15K 
[   ]berry-lang-1.1.0-r0.apk2024-10-25 19:08 111K 
[   ]bestline-0.0_git20211108-r0.apk2024-10-25 19:08 21K 
[   ]bestline-dev-0.0_git20211108-r0.apk2024-10-25 19:08 1.7K 
[   ]bestline-doc-0.0_git20211108-r0.apk2024-10-25 19:08 18M 
[   ]beszel-0.11.1-r1.apk2025-05-13 21:59 10M 
[   ]beszel-agent-0.11.1-r1.apk2025-05-13 21:59 3.0M 
[   ]beszel-agent-openrc-0.11.1-r1.apk2025-05-13 21:59 2.0K 
[   ]beszel-openrc-0.11.1-r1.apk2025-05-13 21:59 2.1K 
[   ]bettercap-2.41.0-r3.apk2025-07-12 06:30 19M 
[   ]bettercap-doc-2.41.0-r3.apk2025-07-12 06:30 14K 
[   ]bgpq4-1.15-r0.apk2024-10-25 19:08 34K 
[   ]bgpq4-doc-1.15-r0.apk2024-10-25 19:08 6.3K 
[   ]bgs-0.8-r1.apk2024-10-25 19:08 5.6K 
[   ]bgs-doc-0.8-r1.apk2024-10-25 19:08 2.3K 
[   ]biboumi-9.0-r8.apk2025-05-29 10:14 264K 
[   ]biboumi-doc-9.0-r8.apk2025-05-29 10:14 1.5K 
[   ]biboumi-openrc-9.0-r8.apk2025-05-29 10:14 1.9K 
[   ]bindfs-1.17.7-r1.apk2025-06-19 08:44 21K 
[   ]bindfs-doc-1.17.7-r1.apk2025-06-19 08:44 9.1K 
[   ]binwalk-3.1.0-r0.apk2025-02-07 15:46 971K 
[   ]biome-2.1.2-r0.apk2025-07-23 04:04 6.3M 
[   ]biometryd-0.3.1-r7.apk2025-02-17 15:07 299K 
[   ]biometryd-dev-0.3.1-r7.apk2025-02-17 15:07 13K 
[   ]bionic_translation-0_git20250324-r0.apk2025-03-26 11:50 51K 
[   ]bionic_translation-dbg-0_git20250324-r0.apk2025-03-26 11:50 133K 
[   ]bionic_translation-dev-0_git20250324-r0.apk2025-03-26 11:50 1.7K 
[   ]birdtray-1.9.0-r1.apk2024-10-25 19:08 403K 
[   ]bitlbee-facebook-1.2.2-r0.apk2024-10-25 19:08 58K 
[   ]bitlbee-mastodon-1.4.5-r0.apk2024-10-25 19:08 45K 
[   ]bitritter-0.1.1-r0.apk2024-10-25 19:08 2.2M 
[   ]bkt-0.8.0-r0.apk2024-10-25 19:08 343K 
[   ]bkt-doc-0.8.0-r0.apk2024-10-25 19:08 7.3K 
[   ]blackbox-1.20220610-r1.apk2024-10-25 19:08 16K 
[   ]blip-0.10-r0.apk2024-10-25 19:08 15K 
[   ]blip-doc-0.10-r0.apk2024-10-25 19:08 31K 
[   ]bliss-0.77-r1.apk2024-10-25 19:08 65K 
[   ]bliss-dev-0.77-r1.apk2024-10-25 19:08 107K 
[   ]bluez-tools-0_git20201025-r0.apk2025-06-09 08:41 244K 
[   ]bluez-tools-doc-0_git20201025-r0.apk2025-06-09 08:41 15K 
[   ]bmk-0.1-r0.apk2025-06-21 07:06 26K 
[   ]bmk-doc-0.1-r0.apk2025-06-21 07:06 6.6K 
[   ]boa-cli-0.20-r0.apk2024-12-05 22:08 6.9M 
[   ]bochs-2.8-r1.apk2025-02-22 15:37 894K 
[   ]bochs-doc-2.8-r1.apk2025-02-22 15:37 139K 
[   ]boinc-7.24.3-r0.apk2024-10-25 19:08 1.5M 
[   ]boinc-dev-7.24.3-r0.apk2024-10-25 19:08 591K 
[   ]boinc-doc-7.24.3-r0.apk2024-10-25 19:08 8.0K 
[   ]boinc-gui-7.24.3-r0.apk2024-10-25 19:08 1.0M 
[   ]boinc-lang-7.24.3-r0.apk2024-10-25 19:08 877K 
[   ]boinc-libs-7.24.3-r0.apk2024-10-25 19:08 199K 
[   ]boinc-screensaver-7.24.3-r0.apk2024-10-25 19:08 123K 
[   ]bomctl-0.1.9-r7.apk2025-07-12 06:30 8.8M 
[   ]bomctl-bash-completion-0.1.9-r7.apk2025-07-12 06:30 5.1K 
[   ]bomctl-fish-completion-0.1.9-r7.apk2025-07-12 06:30 4.3K 
[   ]bomctl-zsh-completion-0.1.9-r7.apk2025-07-12 06:30 4.1K 
[   ]bonzomatic-20230615-r0.apk2024-10-25 19:08 642K 
[   ]bootchart2-0.14.9-r0.apk2025-01-03 10:13 137K 
[   ]bootinfo-0.1.0-r4.apk2024-10-25 19:08 19K 
[   ]bootinfo-pyc-0.1.0-r4.apk2024-10-25 19:08 8.2K 
[   ]bootloose-0.7.1-r12.apk2025-07-12 06:30 2.1M 
[   ]bootterm-0.5-r0.apk2024-10-25 19:08 19K 
[   ]bootterm-dbg-0.5-r0.apk2024-10-25 19:08 2.3K 
[   ]bore-0.5.2-r0.apk2024-12-15 19:25 504K 
[   ]boson-0_git20211219-r0.apk2024-10-25 19:08 17K 
[   ]botan2-2.19.5-r0.apk2025-05-29 10:14 396K 
[   ]botan2-dev-2.19.5-r0.apk2025-05-29 10:14 311K 
[   ]botan2-doc-2.19.5-r0.apk2025-05-29 10:14 306K 
[   ]botan2-libs-2.19.5-r0.apk2025-05-29 10:14 2.5M 
[   ]boxes-2.3.1-r0.apk2024-10-25 19:08 77K 
[   ]boxes-doc-2.3.1-r0.apk2024-10-25 19:08 7.1K 
[   ]brial-1.2.11-r4.apk2024-10-25 19:08 1.0M 
[   ]brial-dev-1.2.11-r4.apk2024-10-25 19:08 1.7M 
[   ]brltty-6.7-r1.apk2025-04-02 17:03 2.2M 
[   ]brltty-dev-6.7-r1.apk2025-04-02 17:03 140K 
[   ]brltty-doc-6.7-r1.apk2025-04-02 17:03 9.4K 
[   ]brltty-lang-6.7-r1.apk2025-04-02 17:03 149K 
[   ]brltty-static-6.7-r1.apk2025-04-02 17:03 25K 
[   ]btcd-0.24.0-r9.apk2025-07-12 06:30 15M 
[   ]btfs-2.24-r12.apk2024-10-25 19:08 32K 
[   ]btfs-doc-2.24-r12.apk2024-10-25 19:08 2.4K 
[   ]btpd-0.16-r2.apk2024-10-25 19:08 78K 
[   ]btpd-doc-0.16-r2.apk2024-10-25 19:08 8.4K 
[   ]buf-1.54.0-r1.apk2025-07-12 06:30 13M 
[   ]buf-bash-completion-1.54.0-r1.apk2025-07-12 06:30 8.6K 
[   ]buf-fish-completion-1.54.0-r1.apk2025-07-12 06:30 4.3K 
[   ]buf-protoc-plugins-1.54.0-r1.apk2025-07-12 06:30 14M 
[   ]buf-zsh-completion-1.54.0-r1.apk2025-07-12 06:30 4.0K 
[   ]build-next-22.0.0_pre20250725-r0.apk2025-07-25 09:23 1.6K 
[   ]build-next-bfd-22.0.0_pre20250725-r0.apk2025-07-25 09:23 856K 
[   ]build-next-gas-22.0.0_pre20250725-r0.apk2025-07-25 09:23 887K 
[   ]build-next-overlay-22.0.0_pre20250725-r0.apk2025-07-25 09:23 2.9K 
[   ]buildcache-0.28.9-r0.apk2024-10-25 19:08 747K 
[   ]bump2version-1.0.1-r6.apk2024-10-25 19:08 21K 
[   ]bump2version-pyc-1.0.1-r6.apk2024-10-25 19:08 29K 
[   ]burp-3.1.4-r0.apk2024-10-25 19:08 168K 
[   ]burp-doc-3.1.4-r0.apk2024-10-25 19:08 99K 
[   ]burp-server-3.1.4-r0.apk2024-10-25 19:08 37K 
[   ]butane-0.24.0-r1.apk2025-07-12 06:30 3.0M 
[   ]bwrap-oci-0.2-r1.apk2024-10-25 19:08 15K 
[   ]bwrap-oci-doc-0.2-r1.apk2024-10-25 19:08 2.5K 
[   ]bzmenu-0.2.1-r1.apk2025-07-23 04:04 1.0M 
[   ]cadence-0.9.2-r0.apk2024-10-25 19:08 1.9M 
[   ]caffeine-ng-4.2.0-r1.apk2024-10-25 19:08 100K 
[   ]caffeine-ng-doc-4.2.0-r1.apk2024-10-25 19:08 3.2K 
[   ]caffeine-ng-lang-4.2.0-r1.apk2024-10-25 19:08 34K 
[   ]caja-gtkhash-plugin-1.5-r0.apk2024-10-25 19:08 25K 
[   ]calibre-8.7.0-r0.apk2025-07-23 04:04 41M 
[   ]calibre-bash-completion-8.7.0-r0.apk2025-07-23 04:04 5.3K 
[   ]calibre-doc-8.7.0-r0.apk2025-07-23 04:04 1.9M 
[   ]calibre-pyc-8.7.0-r0.apk2025-07-23 04:04 2.6K 
[   ]calibre-zsh-completion-8.7.0-r0.apk2025-07-23 04:04 45K 
[   ]capnet-assist-8.0.0-r0.apk2025-04-14 10:10 44K 
[   ]capnet-assist-lang-8.0.0-r0.apk2025-04-14 10:10 37K 
[   ]caprine-2.60.3-r2.apk2025-05-10 20:47 16M 
[   ]caps2esc-0.3.2-r0.apk2024-10-25 19:08 4.8K 
[   ]care-2.3.0-r1.apk2024-10-25 19:08 93K 
[   ]care-doc-2.3.0-r1.apk2024-10-25 19:08 7.9K 
[   ]cargo-crev-0.26.3-r0.apk2025-03-02 16:12 5.7M 
[   ]cargo-geiger-0.12.0-r0.apk2025-05-26 11:08 4.9M 
[   ]cargo-geiger-doc-0.12.0-r0.apk2025-05-26 11:08 7.7K 
[   ]cargo-generate-0.23.3-r0.apk2025-05-13 21:59 2.2M 
[   ]cargo-leptos-0.2.40-r0.apk2025-07-23 04:04 8.1M 
[   ]cargo-leptos-doc-0.2.40-r0.apk2025-07-23 04:04 2.2K 
[   ]cargo-machete-0.8.0-r0.apk2025-05-25 23:25 1.2M 
[   ]cargo-machete-doc-0.8.0-r0.apk2025-05-25 23:25 4.0K 
[   ]cargo-run-bin-1.7.2-r0.apk2024-10-25 19:08 425K 
[   ]cargo-run-bin-doc-1.7.2-r0.apk2024-10-25 19:08 5.1K 
[   ]cargo-show-asm-0.2.51-r0.apk2025-07-14 13:34 798K 
[   ]cargo-show-asm-doc-0.2.51-r0.apk2025-07-14 13:34 10K 
[   ]cargo-shuttle-0.56.5-r0.apk2025-07-24 17:23 4.7M 
[   ]cargo-shuttle-bash-completion-0.56.5-r0.apk2025-07-24 17:23 5.2K 
[   ]cargo-shuttle-doc-0.56.5-r0.apk2025-07-24 17:23 9.0K 
[   ]cargo-shuttle-fish-completion-0.56.5-r0.apk2025-07-24 17:23 9.0K 
[   ]cargo-shuttle-zsh-completion-0.56.5-r0.apk2025-07-24 17:23 7.9K 
[   ]cargo-udeps-0.1.57-r0.apk2025-07-14 13:34 4.3M 
[   ]cargo-udeps-doc-0.1.57-r0.apk2025-07-14 13:34 7.5K 
[   ]cargo-update-16.2.1-r0.apk2025-03-26 11:50 1.0M 
[   ]cargo-update-doc-16.2.1-r0.apk2025-03-26 11:50 8.3K 
[   ]cargo-vendor-filterer-0.5.18-r0.apk2025-07-24 17:29 592K 
[   ]castero-0.9.5-r4.apk2025-05-14 18:17 50K 
[   ]castero-pyc-0.9.5-r4.apk2025-05-14 18:17 94K 
[   ]castor-0.9.0-r2.apk2024-10-25 19:08 732K 
[   ]cataclysm-dda-0h-r0.apk2025-03-26 11:50 19M 
[   ]cataclysm-dda-curses-0h-r0.apk2025-03-26 11:50 11M 
[   ]cataclysm-dda-doc-0h-r0.apk2025-03-26 11:50 4.6K 
[   ]cataclysm-dda-lang-0h-r0.apk2025-03-26 11:50 38M 
[   ]cataclysm-dda-tiles-0h-r0.apk2025-03-26 11:50 48M 
[   ]catcodec-1.0.5-r2.apk2024-10-25 19:08 12K 
[   ]catcodec-doc-1.0.5-r2.apk2024-10-25 19:08 4.9K 
[   ]catdoc-0.95-r1.apk2024-10-25 19:08 110K 
[   ]catdoc-doc-0.95-r1.apk2024-10-25 19:08 9.2K 
[   ]catfish-4.20.1-r0.apk2025-07-07 19:19 128K 
[   ]catfish-doc-4.20.1-r0.apk2025-07-07 19:19 13K 
[   ]catfish-lang-4.20.1-r0.apk2025-07-07 19:19 167K 
[   ]catfish-pyc-4.20.1-r0.apk2025-07-07 19:19 104K 
[   ]cava-0.10.4-r1.apk2025-02-17 22:22 44K 
[   ]cbqn-0.9.0-r0.apk2025-03-26 11:50 751K 
[   ]cc65-2.19-r0.apk2024-10-25 19:08 8.8M 
[   ]ccrtp-2.1.2-r0.apk2024-10-25 19:08 85K 
[   ]ccrtp-dev-2.1.2-r0.apk2024-10-25 19:08 53K 
[   ]ccrtp-doc-2.1.2-r0.apk2024-10-25 19:08 31K 
[   ]ccze-0.2.1-r1.apk2024-10-25 19:08 79K 
[   ]ccze-dev-0.2.1-r1.apk2024-10-25 19:08 3.3K 
[   ]ccze-doc-0.2.1-r1.apk2024-10-25 19:08 8.8K 
[   ]cdba-1.0-r2.apk2024-10-25 19:08 8.1K 
[   ]cdba-server-1.0-r2.apk2024-10-25 19:08 22K 
[   ]cddlib-0.94m-r2.apk2024-10-25 19:08 181K 
[   ]cddlib-dev-0.94m-r2.apk2024-10-25 19:08 14K 
[   ]cddlib-doc-0.94m-r2.apk2024-10-25 19:08 864K 
[   ]cddlib-static-0.94m-r2.apk2024-10-25 19:08 258K 
[   ]cddlib-tools-0.94m-r2.apk2024-10-25 19:08 94K 
[   ]cdist-7.0.0-r6.apk2024-10-25 19:08 511K 
[   ]cdist-pyc-7.0.0-r6.apk2024-10-25 19:08 128K 
[   ]cdogs-sdl-2.1.0-r0.apk2024-10-25 19:08 33M 
[   ]cellbroadcastd-0.0.2-r0.apk2025-06-27 11:29 57K 
[   ]cellbroadcastd-dev-0.0.2-r0.apk2025-06-27 11:29 35K 
[   ]cellbroadcastd-libs-0.0.2-r0.apk2025-06-27 11:29 25K 
[   ]certbot-dns-njalla-2.0.0-r0.apk2024-11-27 23:05 9.3K 
[   ]certbot-dns-njalla-pyc-2.0.0-r0.apk2024-11-27 23:05 4.2K 
[   ]certbot-dns-pdns-0.1.1-r0.apk2024-10-25 19:08 8.9K 
[   ]certbot-dns-pdns-pyc-0.1.1-r0.apk2024-10-25 19:08 3.9K 
[   ]certigo-1.16.0-r24.apk2025-07-12 06:30 3.5M 
[   ]certstrap-1.3.0-r25.apk2025-07-12 06:30 2.2M 
[   ]cfssl-1.6.5-r6.apk2025-07-12 06:30 28M 
[   ]cgiirc-0.5.12-r1.apk2024-10-25 19:08 133K 
[   ]cgo-0.6.1-r1.apk2024-10-25 19:08 10K 
[   ]cgo-doc-0.6.1-r1.apk2024-10-25 19:08 4.1K 
[   ]chamo-4.0-r0.apk2024-10-25 19:08 8.8M 
[   ]chamo-byte-4.0-r0.apk2024-10-25 19:08 1.5M 
[   ]chamo-dev-4.0-r0.apk2024-10-25 19:08 4.2M 
[   ]charls-2.4.2-r0.apk2024-10-25 19:08 65K 
[   ]charls-dev-2.4.2-r0.apk2024-10-25 19:08 27K 
[   ]chasquid-1.15.0-r5.apk2025-07-12 06:30 10M 
[   ]chasquid-doc-1.15.0-r5.apk2025-07-12 06:30 15K 
[   ]chasquid-openrc-1.15.0-r5.apk2025-07-12 06:30 1.9K 
[   ]chawan-0.2.2-r0.apk2025-07-23 04:04 3.7M 
[   ]chawan-doc-0.2.2-r0.apk2025-07-23 04:04 51K 
[   ]checkpolicy-3.6-r0.apk2024-10-25 19:08 357K 
[   ]checkpolicy-doc-3.6-r0.apk2024-10-25 19:08 4.2K 
[   ]cherrytree-1.4.0-r0.apk2025-03-26 17:40 2.6M 
[   ]cherrytree-doc-1.4.0-r0.apk2025-03-26 17:40 2.1K 
[   ]cherrytree-lang-1.4.0-r0.apk2025-03-26 17:40 859K 
[   ]chim-1.1.2-r1.apk2024-10-25 19:08 1.6M 
[   ]chim-doc-1.1.2-r1.apk2024-10-25 19:08 2.8K 
[   ]chimerautils-14.2.1-r0.apk2025-05-25 23:19 1.3M 
[   ]chimerautils-dbg-14.2.1-r0.apk2025-05-25 23:19 3.1M 
[   ]chocolate-doom-3.1.0-r0.apk2024-10-25 19:08 1.7M 
[   ]chocolate-doom-doc-3.1.0-r0.apk2024-10-25 19:08 231K 
[   ]cilium-cli-0.16.13-r6.apk2025-07-12 06:30 53M 
[   ]cilium-cli-bash-completion-0.16.13-r6.apk2025-07-12 06:30 5.1K 
[   ]cilium-cli-fish-completion-0.16.13-r6.apk2025-07-12 06:30 4.3K 
[   ]cilium-cli-zsh-completion-0.16.13-r6.apk2025-07-12 06:30 4.0K 
[   ]cimg-3.4.1-r0.apk2024-10-25 19:08 826K 
[   ]cinny-web-4.8.1-r0.apk2025-06-16 15:47 5.3M 
[   ]circuslinux-1.0.3-r1.apk2024-10-25 19:08 20K 
[   ]circuslinux-data-1.0.3-r1.apk2024-10-25 19:08 1.1M 
[   ]circuslinux-doc-1.0.3-r1.apk2024-10-25 19:08 18K 
[   ]ckb-next-0.6.2-r0.apk2025-03-26 11:50 1.4M 
[   ]ckb-next-daemon-0.6.2-r0.apk2025-03-26 11:50 74K 
[   ]ckb-next-daemon-openrc-0.6.2-r0.apk2025-03-26 11:50 1.8K 
[   ]ckb-next-dev-0.6.2-r0.apk2025-03-26 11:50 4.9K 
[   ]clang-next-22.0.0_pre20250725-r0.apk2025-07-25 09:23 8.9M 
[   ]clang-next-ccache-22.0.0_pre20250725-r0.apk2025-07-25 09:23 1.7K 
[   ]clang-next-dev-22.0.0_pre20250725-r0.apk2025-07-25 09:23 3.8M 
[   ]clang-next-headers-22.0.0_pre20250725-r0.apk2025-07-25 09:23 1.0M 
[   ]clang-next-libclang-22.0.0_pre20250725-r0.apk2025-07-25 09:23 8.7M 
[   ]clang-next-libs-22.0.0_pre20250725-r0.apk2025-07-25 09:23 15M 
[   ]clang-next-rtlib-22.0.0_pre20250725-r0.apk2025-07-25 09:23 12M 
[   ]clang-next-rtlib-atomic-22.0.0_pre20250725-r0.apk2025-07-25 09:23 13K 
[   ]clang-next-rtlib-scudo-22.0.0_pre20250725-r0.apk2025-07-25 09:23 42K 
[   ]clang-next-static-22.0.0_pre20250725-r0.apk2025-07-25 09:23 34M 
[   ]clang21-21.1.0_rc1-r1.apk2025-07-23 04:04 616K 
[   ]clang21-ccache-21.1.0_rc1-r1.apk2025-07-23 04:04 1.6K 
[   ]clang21-dev-21.1.0_rc1-r1.apk2025-07-23 04:04 4.0M 
[   ]clang21-extra-tools-21.1.0_rc1-r1.apk2025-07-23 04:04 33M 
[   ]clang21-headers-21.1.0_rc1-r1.apk2025-07-23 04:04 1.0M 
[   ]clang21-libclang-21.1.0_rc1-r1.apk2025-07-23 04:04 18M 
[   ]clang21-libs-21.1.0_rc1-r1.apk2025-07-23 04:04 31M 
[   ]clang21-static-21.1.0_rc1-r1.apk2025-07-23 04:04 128M 
[   ]clatd-1.6-r0.apk2024-10-25 19:08 13K 
[   ]clementine-1.4.1_git20250503-r0.apk2025-06-12 15:22 6.1M 
[   ]clevis-21-r0.apk2025-01-26 06:27 57K 
[   ]clevis-bash-completion-21-r0.apk2025-01-26 06:27 2.0K 
[   ]clevis-dbg-21-r0.apk2025-01-26 06:27 64K 
[   ]clevis-doc-21-r0.apk2025-01-26 06:27 23K 
[   ]clevis-extra-pins-0_git20230629-r0.apk2024-10-25 19:08 4.7K 
[   ]click-0.5.2-r4.apk2025-02-17 15:07 158K 
[   ]click-dev-0.5.2-r4.apk2025-02-17 15:07 9.1K 
[   ]click-doc-0.5.2-r4.apk2025-02-17 15:07 3.3K 
[   ]click-pyc-0.5.2-r4.apk2025-02-17 15:07 175K 
[   ]clinfo-3.0.23.01.25-r0.apk2024-10-25 19:08 47K 
[   ]clinfo-doc-3.0.23.01.25-r0.apk2024-10-25 19:08 6.5K 
[   ]cliphist-0.6.1-r6.apk2025-07-12 06:30 898K 
[   ]cliphist-fzf-0.6.1-r6.apk2025-07-12 06:30 1.8K 
[   ]clipit-1.4.5-r3.apk2024-10-25 19:08 66K 
[   ]clipit-doc-1.4.5-r3.apk2024-10-25 19:08 2.4K 
[   ]cliquer-1.22-r2.apk2024-10-25 19:08 7.5K 
[   ]cliquer-dev-1.22-r2.apk2024-10-25 19:08 7.4K 
[   ]cliquer-libs-1.22-r2.apk2024-10-25 19:08 24K 
[   ]cliquer-static-1.22-r2.apk2024-10-25 19:08 29K 
[   ]cliquer-tests-1.22-r2.apk2024-10-25 19:08 24K 
[   ]cln-1.3.7-r1.apk2025-05-25 07:49 437K 
[   ]cln-dev-1.3.7-r1.apk2025-05-25 07:49 1.2M 
[   ]cln-doc-1.3.7-r1.apk2025-05-25 07:49 77K 
[   ]cloud-hypervisor-45.0-r0.apk2025-05-16 07:28 2.4M 
[   ]cloudflared-2024.12.1-r7.apk2025-07-12 06:30 8.9M 
[   ]cloudflared-doc-2024.12.1-r7.apk2025-07-12 06:30 1.9K 
[   ]cloudflared-openrc-2024.12.1-r7.apk2025-07-12 06:30 1.8K 
[   ]cloudfoundry-cli-8.7.9-r10.apk2025-07-12 06:30 8.6M 
[   ]cluster-glue-1.0.12-r5.apk2024-10-25 19:08 311K 
[   ]cluster-glue-dev-1.0.12-r5.apk2024-10-25 19:08 1.1M 
[   ]cluster-glue-doc-1.0.12-r5.apk2024-10-25 19:08 33K 
[   ]cluster-glue-libs-1.0.12-r5.apk2024-10-25 19:08 118K 
[   ]cm256cc-1.1.1-r1.apk2025-02-08 23:46 11K 
[   ]cm256cc-dev-1.1.1-r1.apk2025-02-08 23:46 15K 
[   ]cmusfm-0.5.0-r0.apk2024-10-25 19:08 15K 
[   ]coccinelle-1.1.1-r2.apk2024-10-25 19:08 9.0M 
[   ]coccinelle-bash-completion-1.1.1-r2.apk2024-10-25 19:08 2.9K 
[   ]coccinelle-doc-1.1.1-r2.apk2024-10-25 19:08 16K 
[   ]cocogitto-6.3.0-r0.apk2025-03-26 11:50 1.7M 
[   ]cocogitto-bash-completion-6.3.0-r0.apk2025-03-26 11:50 3.0K 
[   ]cocogitto-doc-6.3.0-r0.apk2025-03-26 11:50 38K 
[   ]cocogitto-fish-completion-6.3.0-r0.apk2025-03-26 11:50 3.3K 
[   ]cocogitto-zsh-completion-6.3.0-r0.apk2025-03-26 11:50 3.0K 
[   ]code-minimap-0.6.7-r0.apk2024-12-12 19:37 332K 
[   ]code-minimap-doc-0.6.7-r0.apk2024-12-12 19:37 8.0K 
[   ]code-oss-1.102.0-r0.apk2025-07-12 06:30 23M 
[   ]code-oss-bash-completion-1.102.0-r0.apk2025-07-12 06:30 2.2K 
[   ]code-oss-zsh-completion-1.102.0-r0.apk2025-07-12 06:30 2.7K 
[   ]codec2-1.2.0-r0.apk2025-05-25 22:14 675K 
[   ]codec2-dev-1.2.0-r0.apk2025-05-25 22:14 15K 
[   ]colormake-0.9.20170221-r0.apk2024-10-25 19:08 4.1K 
[   ]colormake-doc-0.9.20170221-r0.apk2024-10-25 19:08 2.7K 
[   ]colorpicker-0_git20201128-r1.apk2024-10-25 19:08 4.3K 
[   ]comics-downloader-0.33.8-r11.apk2025-07-12 06:30 3.6M 
[   ]comics-downloader-gui-0.33.8-r11.apk2025-07-12 06:30 5.3M 
[   ]commit-lsp-0.1.0-r0.apk2025-05-08 12:22 2.1M 
[   ]commoncpp-7.0.1-r1.apk2024-10-25 19:08 280K 
[   ]commoncpp-dev-7.0.1-r1.apk2024-10-25 19:08 173K 
[   ]commoncpp-doc-7.0.1-r1.apk2024-10-25 19:08 15K 
[   ]commoncpp-tools-7.0.1-r1.apk2024-10-25 19:08 42K 
[   ]compiz-0.9.14.2-r11.apk2025-06-12 15:22 6.0M 
[   ]compiz-dev-0.9.14.2-r11.apk2025-06-12 15:22 117K 
[   ]compiz-lang-0.9.14.2-r11.apk2025-06-12 15:22 1.2M 
[   ]compiz-pyc-0.9.14.2-r11.apk2025-06-12 15:22 112K 
[   ]compiz-utils-0.9.14.2-r11.apk2025-06-12 15:22 3.3K 
[   ]conntracct-0.2.7-r32.apk2025-07-12 06:30 4.8M 
[   ]conntracct-openrc-0.2.7-r32.apk2025-07-12 06:30 1.9K 
[   ]console_bridge-1.0.2-r0.apk2024-10-25 19:08 9.6K 
[   ]console_bridge-dev-1.0.2-r0.apk2024-10-25 19:08 4.7K 
[   ]consul-replicate-0.4.0-r32.apk2025-07-12 06:30 2.7M 
[   ]contractor-0.3.5-r0.apk2024-11-12 21:56 27K 
[   ]convert2json-2.2.2-r0.apk2025-05-26 00:38 1.3K 
[   ]convert2json-bson-2.2.2-r0.apk2025-05-26 00:38 1.3K 
[   ]convert2json-bson-jaq-2.2.2-r0.apk2025-05-26 00:38 235K 
[   ]convert2json-bson-json-2.2.2-r0.apk2025-05-26 00:38 226K 
[   ]convert2json-cbor-2.2.2-r0.apk2025-05-26 00:38 1.3K 
[   ]convert2json-cbor-jaq-2.2.2-r0.apk2025-05-26 00:38 206K 
[   ]convert2json-cbor-json-2.2.2-r0.apk2025-05-26 00:38 197K 
[   ]convert2json-csv-2.2.2-r0.apk2025-05-26 00:38 1.3K 
[   ]convert2json-csv-jaq-2.2.2-r0.apk2025-05-26 00:38 223K 
[   ]convert2json-csv-json-2.2.2-r0.apk2025-05-26 00:38 214K 
[   ]convert2json-doc-2.2.2-r0.apk2025-05-26 00:38 13K 
[   ]convert2json-ini-2.2.2-r0.apk2025-05-26 00:38 1.3K 
[   ]convert2json-ini-jaq-2.2.2-r0.apk2025-05-26 00:38 189K 
[   ]convert2json-ini-json-2.2.2-r0.apk2025-05-26 00:38 179K 
[   ]convert2json-jaq-2.2.2-r0.apk2025-05-26 00:38 1.4K 
[   ]convert2json-json-2.2.2-r0.apk2025-05-26 00:38 1.3K 
[   ]convert2json-messagepack-2.2.2-r0.apk2025-05-26 00:38 1.3K 
[   ]convert2json-messagepack-jaq-2.2.2-r0.apk2025-05-26 00:38 203K 
[   ]convert2json-messagepack-json-2.2.2-r0.apk2025-05-26 00:38 194K 
[   ]convert2json-plist-2.2.2-r0.apk2025-05-26 00:38 1.3K 
[   ]convert2json-plist-jaq-2.2.2-r0.apk2025-05-26 00:38 243K 
[   ]convert2json-plist-json-2.2.2-r0.apk2025-05-26 00:38 234K 
[   ]convert2json-rsv-2.2.2-r0.apk2025-05-26 00:38 1.3K 
[   ]convert2json-rsv-jaq-2.2.2-r0.apk2025-05-26 00:38 169K 
[   ]convert2json-rsv-json-2.2.2-r0.apk2025-05-26 00:38 158K 
[   ]convert2json-toml-2.2.2-r0.apk2025-05-26 00:38 1.3K 
[   ]convert2json-toml-jaq-2.2.2-r0.apk2025-05-26 00:38 248K 
[   ]convert2json-toml-json-2.2.2-r0.apk2025-05-26 00:38 239K 
[   ]convert2json-xml-2.2.2-r0.apk2025-05-26 00:38 1.3K 
[   ]convert2json-xml-jaq-2.2.2-r0.apk2025-05-26 00:38 200K 
[   ]convert2json-xml-json-2.2.2-r0.apk2025-05-26 00:38 191K 
[   ]convert2json-yaml-2.2.2-r0.apk2025-05-26 00:38 1.3K 
[   ]convert2json-yaml-jaq-2.2.2-r0.apk2025-05-26 00:38 264K 
[   ]convert2json-yaml-json-2.2.2-r0.apk2025-05-26 00:38 255K 
[   ]copyq-10.0.0-r0.apk2025-06-25 13:53 2.6M 
[   ]copyq-bash-completion-10.0.0-r0.apk2025-06-25 13:53 2.3K 
[   ]copyq-doc-10.0.0-r0.apk2025-06-25 13:53 3.5K 
[   ]corosync-3.1.9-r0.apk2025-05-29 10:14 281K 
[   ]corosync-dev-3.1.9-r0.apk2025-05-29 10:14 470K 
[   ]corosync-doc-3.1.9-r0.apk2025-05-29 10:14 190K 
[   ]corosync-openrc-3.1.9-r0.apk2025-05-29 10:14 1.8K 
[   ]cortex-tenant-1.15.2-r7.apk2025-07-12 06:30 3.9M 
[   ]cortex-tenant-openrc-1.15.2-r7.apk2025-07-12 06:30 2.0K 
[   ]cosmic-app-library-1.0.0_alpha7-r0.apk2025-05-03 20:02 6.5M 
[   ]cosmic-applets-1.0.0_alpha7-r1.apk2025-05-16 17:42 10M 
[   ]cosmic-bg-1.0.0_alpha7-r0.apk2025-05-03 20:02 1.5M 
[   ]cosmic-comp-1.0.0_alpha7-r1.apk2025-05-03 20:02 5.7M 
[   ]cosmic-edit-1.0.0_alpha7-r0.apk2025-05-03 20:02 9.7M 
[   ]cosmic-files-1.0.0_alpha7-r0.apk2025-05-03 20:02 18M 
[   ]cosmic-greeter-1.0.0_alpha7-r0.apk2025-05-03 20:02 6.9M 
[   ]cosmic-icons-1.0.0_alpha7-r0.apk2025-05-03 20:02 231K 
[   ]cosmic-idle-1.0.0_alpha7-r0.apk2025-05-03 20:02 1.0M 
[   ]cosmic-launcher-1.0.0_alpha7-r0.apk2025-05-03 20:02 5.4M 
[   ]cosmic-notifications-1.0.0_alpha7-r0.apk2025-05-03 20:02 5.8M 
[   ]cosmic-osd-1.0.0_alpha7-r1.apk2025-06-06 09:41 4.7M 
[   ]cosmic-panel-1.0.0_alpha7-r0.apk2025-05-03 20:02 3.7M 
[   ]cosmic-player-1.0.0_alpha7-r0.apk2025-05-03 20:02 6.2M 
[   ]cosmic-randr-1.0.0_alpha7-r0.apk2025-05-03 20:02 396K 
[   ]cosmic-screenshot-1.0.0_alpha7-r0.apk2025-05-03 20:02 824K 
[   ]cosmic-session-1.0.0_alpha7-r2.apk2025-05-03 20:02 1.2M 
[   ]cosmic-settings-1.0.0_alpha7-r0.apk2025-05-03 20:02 11M 
[   ]cosmic-settings-daemon-1.0.0_alpha7-r0.apk2025-05-03 20:02 1.2M 
[   ]cosmic-store-1.0.0_alpha7-r0.apk2025-05-03 20:02 7.2M 
[   ]cosmic-term-1.0.0_alpha7-r0.apk2025-05-03 20:02 8.5M 
[   ]cosmic-workspaces-1.0.0_alpha7-r0.apk2025-05-03 20:02 6.0M 
[   ]cowsay-3.04-r2.apk2024-10-25 19:08 18K 
[   ]cowsay-doc-3.04-r2.apk2024-10-25 19:08 4.0K 
[   ]coxeter-3.0-r1.apk2024-10-25 19:08 49K 
[   ]coxeter-dev-3.0-r1.apk2024-10-25 19:08 57K 
[   ]coxeter-libs-3.0-r1.apk2024-10-25 19:08 298K 
[   ]cpdf-2.8.1-r0.apk2025-05-08 12:22 2.4M 
[   ]cpdf-doc-2.8.1-r0.apk2025-05-08 12:22 558K 
[   ]cpiped-0.1.0-r0.apk2024-10-25 19:08 6.6K 
[   ]cpp-httplib-0.23.1-r0.apk2025-07-23 04:04 81K 
[   ]cpp-httplib-doc-0.23.1-r0.apk2025-07-23 04:04 13K 
[   ]cpplint-2.0.2-r0.apk2025-04-13 23:33 80K 
[   ]cpplint-pyc-2.0.2-r0.apk2025-04-13 23:33 99K 
[   ]cproc-0_git20240427-r1.apk2024-11-03 21:51 54K 
[   ]cproc-dbg-0_git20240427-r1.apk2024-11-03 21:51 121K 
[   ]cproc-doc-0_git20240427-r1.apk2024-11-03 21:51 2.8K 
[   ]cproto-4.7x-r0.apk2025-07-23 04:04 33K 
[   ]cproto-doc-4.7x-r0.apk2025-07-23 04:04 5.2K 
[   ]cpu-x-5.2.0-r1.apk2025-05-05 22:11 2.1M 
[   ]cpu-x-bash-completion-5.2.0-r1.apk2025-05-05 22:11 2.0K 
[   ]cpu-x-fish-completion-5.2.0-r1.apk2025-05-05 22:11 2.2K 
[   ]cpu-x-lang-5.2.0-r1.apk2025-05-05 22:11 266K 
[   ]cpu-x-zsh-completion-5.2.0-r1.apk2025-05-05 22:11 2.1K 
[   ]cpuburn-1.4a_git20160316-r2.apk2024-10-25 19:08 3.3K 
[   ]cpufetch-1.06-r0.apk2024-10-25 19:08 43K 
[   ]cpufetch-doc-1.06-r0.apk2024-10-25 19:08 3.1K 
[   ]crazydiskinfo-1.1.0-r1.apk2024-10-25 19:08 33K 
[   ]createrepo_c-1.1.4-r0.apk2024-10-25 19:08 49K 
[   ]createrepo_c-bash-completion-1.1.4-r0.apk2024-10-25 19:08 2.9K 
[   ]createrepo_c-dev-1.1.4-r0.apk2024-10-25 19:08 31K 
[   ]createrepo_c-doc-1.1.4-r0.apk2024-10-25 19:08 8.7K 
[   ]createrepo_c-libs-1.1.4-r0.apk2024-10-25 19:08 87K 
[   ]crispy-doom-7.0-r0.apk2024-10-25 19:08 1.8M 
[   ]crispy-doom-doc-7.0-r0.apk2024-10-25 19:08 107K 
[   ]crossplane-0.5.8-r3.apk2024-10-25 19:08 30K 
[   ]crossplane-pyc-0.5.8-r3.apk2024-10-25 19:08 39K 
[   ]crowdsec-1.6.11-r0.apk2025-07-23 04:04 33M 
[   ]crowdsec-email-plugin-1.6.11-r0.apk2025-07-23 04:04 5.7M 
[   ]crowdsec-http-plugin-1.6.11-r0.apk2025-07-23 04:04 5.6M 
[   ]crowdsec-openrc-1.6.11-r0.apk2025-07-23 04:04 1.8K 
[   ]crowdsec-sentinel-plugin-1.6.11-r0.apk2025-07-23 04:04 5.6M 
[   ]crowdsec-slack-plugin-1.6.11-r0.apk2025-07-23 04:04 5.7M 
[   ]crowdsec-splunk-plugin-1.6.11-r0.apk2025-07-23 04:04 5.6M 
[   ]crun-vm-0.3.0-r0.apk2024-11-12 11:41 1.0M 
[   ]crun-vm-doc-0.3.0-r0.apk2024-11-12 11:41 13K 
[   ]cscope-15.9-r1.apk2024-10-25 19:08 153K 
[   ]cscope-doc-15.9-r1.apk2024-10-25 19:08 7.5K 
[   ]csfml-2.5.2-r0.apk2024-10-25 19:08 96K 
[   ]csfml-dev-2.5.2-r0.apk2024-10-25 19:08 77K 
[   ]csfml-doc-2.5.2-r0.apk2024-10-25 19:08 204K 
[   ]csmith-2.3.0-r2.apk2024-10-25 19:08 309K 
[   ]csmith-doc-2.3.0-r2.apk2024-10-25 19:08 3.1K 
[   ]csol-1.6.0-r0.apk2024-10-25 19:08 38K 
[   ]csol-doc-1.6.0-r0.apk2024-10-25 19:08 3.8K 
[   ]ctorrent-dnh-3.3.2-r2.apk2024-10-25 19:08 86K 
[   ]cups-pdf-3.0.2-r0.apk2025-07-04 21:11 22K 
[   ]cura-5.2.2-r1.apk2024-10-25 19:08 42M 
[   ]cura-lang-5.2.2-r1.apk2024-10-25 19:08 4.1M 
[   ]curlftpfs-0.9.2-r3.apk2024-10-25 19:08 24K 
[   ]curlftpfs-doc-0.9.2-r3.apk2024-10-25 19:08 6.1K 
[   ]curtail-1.13.0-r0.apk2025-07-05 20:39 30K 
[   ]curtail-lang-1.13.0-r0.apk2025-07-05 20:39 78K 
[   ]cutechess-1.3.1-r0.apk2024-10-25 19:08 1.1M 
[   ]cutechess-cli-1.3.1-r0.apk2024-10-25 19:08 346K 
[   ]cutechess-cli-doc-1.3.1-r0.apk2024-10-25 19:08 6.6K 
[   ]cutechess-doc-1.3.1-r0.apk2024-10-25 19:08 3.6K 
[   ]cvise-2.11.0-r0.apk2025-03-11 03:55 4.5M 
[   ]cvise-pyc-2.11.0-r0.apk2025-03-11 03:55 60K 
[   ]cvs-fast-export-1.65-r0.apk2024-10-25 19:08 48K 
[   ]cvs-fast-export-doc-1.65-r0.apk2024-10-25 19:08 17K 
[   ]cvs-fast-export-tools-1.65-r0.apk2024-10-25 19:08 8.7K 
[   ]cyrus-sasl-xoauth2-0.2-r1.apk2024-10-25 19:08 6.9K 
[   ]cyrus-sasl-xoauth2-doc-0.2-r1.apk2024-10-25 19:08 2.3K 
[   ]cyrus-sasl-xoauth2-static-0.2-r1.apk2024-10-25 19:08 6.9K 
[   ]cz-viator-hourglass-black-20210706-r0.apk2024-10-25 19:08 219K 
[   ]daemontools-0.76-r3.apk2024-10-25 19:08 106K 
[   ]daemontools-openrc-0.76-r3.apk2024-10-25 19:08 2.0K 
[   ]daktilo-0.6.0-r0.apk2024-10-25 19:08 1.7M 
[   ]daktilo-bash-completion-0.6.0-r0.apk2024-10-25 19:08 2.2K 
[   ]daktilo-doc-0.6.0-r0.apk2024-10-25 19:08 8.7K 
[   ]daktilo-fish-completion-0.6.0-r0.apk2024-10-25 19:08 1.9K 
[   ]daktilo-zsh-completion-0.6.0-r0.apk2024-10-25 19:08 2.3K 
[   ]dart-3.8.1-r0.apk2025-06-12 21:51 47M 
[   ]dart-sass-1.89.2-r0.apk2025-07-05 21:56 1.4M 
[   ]dart-sdk-3.8.1-r0.apk2025-06-12 21:52 129M 
[   ]dart-stage0-3.8.0_alpha237_p0-r0.apk2025-06-12 21:52 180M 
[   ]dartaotruntime-3.8.1-r0.apk2025-06-12 21:52 1.4M 
[   ]darts-clone-0.32h-r0.apk2025-07-06 07:09 41K 
[   ]darts-clone-dev-0.32h-r0.apk2025-07-06 07:09 13K 
[   ]dasht-2.4.0-r0.apk2024-10-25 19:08 14K 
[   ]dasht-doc-2.4.0-r0.apk2024-10-25 19:08 11K 
[   ]dasht-zsh-completion-2.4.0-r0.apk2024-10-25 19:08 2.1K 
[   ]davmail-6.3.0-r0.apk2025-04-17 18:34 9.4M 
[   ]dbmate-2.26.0-r3.apk2025-07-12 06:30 10M 
[   ]dbmate-doc-2.26.0-r3.apk2025-07-12 06:30 2.3K 
[   ]dbus-broker-37-r0.apk2025-06-17 11:01 84K 
[   ]dbus-broker-doc-37-r0.apk2025-06-17 11:01 5.9K 
[   ]dcmtk-3.6.9-r0.apk2025-01-26 06:27 1.3M 
[   ]dcmtk-dev-3.6.9-r0.apk2025-01-26 06:27 1.6M 
[   ]dcmtk-doc-3.6.9-r0.apk2025-01-26 06:27 257K 
[   ]dcmtk-openrc-3.6.9-r0.apk2025-01-26 06:27 1.7K 
[   ]dcnnt-0.10.0-r1.apk2024-10-25 19:08 28K 
[   ]dcnnt-doc-0.10.0-r1.apk2024-10-25 19:08 6.6K 
[   ]dcnnt-pyc-0.10.0-r1.apk2024-10-25 19:08 62K 
[   ]ddcci-driver-linux-src-0.4.5-r2.apk2025-03-26 11:50 19K 
[   ]ddgr-2.2-r0.apk2024-10-25 19:08 20K 
[   ]ddgr-bash-completion-2.2-r0.apk2024-10-25 19:08 2.2K 
[   ]ddgr-doc-2.2-r0.apk2024-10-25 19:08 12K 
[   ]ddgr-fish-completion-2.2-r0.apk2024-10-25 19:08 2.3K 
[   ]ddgr-zsh-completion-2.2-r0.apk2024-10-25 19:08 2.7K 
[   ]ddserver-0_git20200930-r1.apk2024-10-25 19:08 12K 
[   ]deadbeef-soxr-20180801-r0.apk2024-10-25 19:08 5.9K 
[   ]debconf-1.5.82-r0.apk2024-10-25 19:08 69K 
[   ]debconf-bash-completion-1.5.82-r0.apk2024-10-25 19:08 1.9K 
[   ]debconf-doc-1.5.82-r0.apk2024-10-25 19:08 27K 
[   ]debconf-lang-1.5.82-r0.apk2024-10-25 19:08 132K 
[   ]debconf-utils-1.5.82-r0.apk2024-10-25 19:08 6.7K 
[   ]deblob-0.11-r0.apk2025-07-12 06:30 140K 
[   ]deblob-doc-0.11-r0.apk2025-07-12 06:30 3.8K 
[   ]decoder-0.7.0-r0.apk2025-04-10 13:26 1.9M 
[   ]decoder-lang-0.7.0-r0.apk2025-04-10 13:26 59K 
[   ]dehydrated-0.7.1-r0.apk2024-10-25 19:08 26K 
[   ]desed-1.2.1-r1.apk2024-10-25 19:08 369K 
[   ]desed-doc-1.2.1-r1.apk2024-10-25 19:08 2.9K 
[   ]desync-0.9.6-r6.apk2025-07-12 06:30 7.3M 
[   ]detox-2.0.0-r0.apk2024-10-25 19:08 115K 
[   ]detox-doc-2.0.0-r0.apk2024-10-25 19:08 21K 
[   ]deviced-0_git20250427-r0.apk2025-07-05 20:03 123K 
[   ]deviced-dev-0_git20250427-r0.apk2025-07-05 20:03 26K 
[   ]deviced-openrc-0_git20250427-r0.apk2025-07-05 20:03 1.7K 
[   ]devil-1.8.0-r0.apk2024-10-25 19:08 241K 
[   ]devil-dev-1.8.0-r0.apk2024-10-25 19:08 13K 
[   ]devpod-0.6.15-r5.apk2025-07-12 06:30 23M 
[   ]devpod-bash-completion-0.6.15-r5.apk2025-07-12 06:30 5.0K 
[   ]devpod-fish-completion-0.6.15-r5.apk2025-07-12 06:30 4.3K 
[   ]devpod-zsh-completion-0.6.15-r5.apk2025-07-12 06:30 4.0K 
[   ]dewduct-0.2.3-r0.apk2024-10-25 19:08 1.1M 
[   ]dfl-applications-0.2.0-r0.apk2024-10-25 19:08 35K 
[   ]dfl-applications-dev-0.2.0-r0.apk2024-10-25 19:08 4.1K 
[   ]dfl-ipc-0.2.0-r0.apk2024-10-25 19:08 21K 
[   ]dfl-ipc-dev-0.2.0-r0.apk2024-10-25 19:08 3.6K 
[   ]dfl-login1-0.2.0-r0.apk2024-10-25 19:08 17K 
[   ]dfl-login1-dev-0.2.0-r0.apk2024-10-25 19:08 3.5K 
[   ]dfl-sni-0.2.0-r0.apk2024-10-25 19:08 32K 
[   ]dfl-sni-dev-0.2.0-r0.apk2024-10-25 19:08 4.1K 
[   ]dfu-programmer-1.1.0-r0.apk2024-10-25 19:08 36K 
[   ]dfu-programmer-bash-completion-1.1.0-r0.apk2024-10-25 19:08 2.8K 
[   ]dfu-programmer-doc-1.1.0-r0.apk2024-10-25 19:08 5.8K 
[   ]dhewm3-1.5.4-r0.apk2025-02-17 15:07 5.0M 
[   ]diceware-1.0.1-r0.apk2025-01-13 22:49 334K 
[   ]diceware-pyc-1.0.1-r0.apk2025-01-13 22:49 18K 
[   ]disfetch-3.7-r0.apk2024-10-25 19:08 8.3K 
[   ]diskonaut-0.11.0-r3.apk2024-10-25 19:08 419K 
[   ]diskus-0.8.0-r0.apk2025-05-18 22:20 317K 
[   ]dislocker-0.7.3-r6.apk2025-07-23 04:04 16K 
[   ]dislocker-doc-0.7.3-r6.apk2025-07-23 04:04 6.0K 
[   ]dislocker-libs-0.7.3-r6.apk2025-07-23 04:04 45K 
[   ]dive-0.13.0-r3.apk2025-07-12 06:30 3.6M 
[   ]dlib-19.24.4-r0.apk2024-10-25 19:08 745K 
[   ]dlib-dev-19.24.4-r0.apk2024-10-25 19:08 2.4M 
[   ]dmarc-cat-0.15.0-r6.apk2025-07-12 06:30 2.6M 
[   ]dmarc-metrics-exporter-1.2.0-r0.apk2024-11-29 22:02 25K 
[   ]dmarc-metrics-exporter-openrc-1.2.0-r0.apk2024-11-29 22:02 1.9K 
[   ]dmarc-metrics-exporter-pyc-1.2.0-r0.apk2024-11-29 22:02 46K 
[   ]dmenu-wl-0.1-r0.apk2025-07-02 10:32 18K 
[   ]dmenu-wl-doc-0.1-r0.apk2025-07-02 10:32 4.1K 
[   ]dnote-0.15.1-r6.apk2025-07-12 06:30 4.2M 
[   ]dnote-bash-completion-0.15.1-r6.apk2025-07-12 06:30 2.1K 
[   ]dnote-doc-0.15.1-r6.apk2025-07-12 06:30 15K 
[   ]dnote-zsh-completion-0.15.1-r6.apk2025-07-12 06:30 2.0K 
[   ]dnscontrol-4.22.0-r0.apk2025-07-15 20:14 14M 
[   ]dnscontrol-doc-4.22.0-r0.apk2025-07-15 20:14 2.3K 
[   ]dnscrypt-wrapper-0.4.2-r3.apk2024-10-25 19:08 30K 
[   ]dnsenum-1.3.2-r0.apk2024-10-25 19:08 21K 
[   ]dnsenum-doc-1.3.2-r0.apk2024-10-25 19:08 5.2K 
[   ]dnsperf-2.14.0-r0.apk2024-10-25 19:08 72K 
[   ]dnsperf-doc-2.14.0-r0.apk2024-10-25 19:08 35K 
[   ]dnssec-tools-2.2.3-r13.apk2025-06-30 07:25 766K 
[   ]dnssec-tools-dev-2.2.3-r13.apk2025-06-30 07:25 190K 
[   ]dnssec-tools-doc-2.2.3-r13.apk2025-06-30 07:25 317K 
[   ]doasedit-1.0.7-r0.apk2024-10-25 19:08 3.6K 
[   ]docker-auth-1.13.0-r6.apk2025-07-13 21:42 9.6M 
[   ]docker-auth-doc-1.13.0-r6.apk2025-07-13 21:42 10K 
[   ]docker-auth-openrc-1.13.0-r6.apk2025-07-13 21:42 2.1K 
[   ]docker-volume-local-persist-1.3.0-r34.apk2025-07-12 06:30 2.4M 
[   ]docker-volume-local-persist-openrc-1.3.0-r34.apk2025-07-12 06:30 1.8K 
[   ]dockerize-0.9.3-r2.apk2025-07-12 06:30 3.2M 
[   ]dodo-0_git20250313-r0.apk2025-05-03 20:02 187K 
[   ]dodo-pyc-0_git20250313-r0.apk2025-05-03 20:02 87K 
[   ]dolt-1.55.3-r1.apk2025-07-12 06:30 35M 
[   ]dooit-3.2.2-r0.apk2025-05-16 07:28 45K 
[   ]dooit-extras-0.2.0-r0.apk2024-12-07 20:23 13K 
[   ]dooit-extras-pyc-0.2.0-r0.apk2024-12-07 20:23 23K 
[   ]dooit-pyc-3.2.2-r0.apk2025-05-16 07:28 100K 
[   ]dotenv-linter-3.3.0-r1.apk2024-10-25 19:08 944K 
[   ]dotnet-bash-completion-6.0.136-r1.apk2024-11-25 04:51 1.8K 
[   ]dotnet-doc-6.0.136-r1.apk2024-11-25 04:51 111K 
[   ]dotnet-host-6.0.36-r1.apk2024-11-25 04:51 34K 
[   ]dotnet-zsh-completion-6.0.136-r1.apk2024-11-25 04:51 1.7K 
[   ]dotnet6-apphost-pack-6.0.36-r1.apk2024-11-25 04:51 4.4M 
[   ]dotnet6-artifacts-6.0.136-r1.apk2024-11-25 04:51 441M 
[   ]dotnet6-build-6.0.136-r1.apk2024-11-25 04:52 1.2G 
[   ]dotnet6-hostfxr-6.0.36-r1.apk2024-11-25 04:52 149K 
[   ]dotnet6-runtime-6.0.36-r1.apk2024-11-25 04:52 26M 
[   ]dotnet6-sdk-6.0.136-r1.apk2024-11-25 04:52 100M 
[   ]dotnet6-stage0-6.0.116-r4.apk2024-10-25 19:09 1.2K 
[   ]dotnet6-stage0-artifacts-6.0.116-r4.apk2024-10-25 19:09 1.0G 
[   ]dotnet6-stage0-bootstrap-6.0.116-r4.apk2024-10-25 19:09 399M 
[   ]dotnet6-targeting-pack-6.0.36-r1.apk2024-11-25 04:52 3.1M 
[   ]dotnet6-templates-6.0.136-r1.apk2024-11-25 04:52 5.8M 
[   ]downloader-cli-0.3.4-r2.apk2025-05-14 18:17 2.0K 
[   ]dprint-0.49.1-r0.apk2025-04-13 22:35 3.8M 
[   ]dprint-bash-completion-0.49.1-r0.apk2025-04-13 22:35 3.2K 
[   ]dprint-doc-0.49.1-r0.apk2025-04-13 22:35 3.2K 
[   ]dprint-fish-completion-0.49.1-r0.apk2025-04-13 22:35 3.8K 
[   ]dprint-zsh-completion-0.49.1-r0.apk2025-04-13 22:35 4.1K 
[   ]draco-1.5.7-r2.apk2025-02-17 15:07 806K 
[   ]draco-dev-1.5.7-r2.apk2025-02-17 15:07 205K 
[   ]draco-static-1.5.7-r2.apk2025-02-17 15:07 1.5M 
[   ]draco-tools-1.5.7-r2.apk2025-02-17 15:07 1.2M 
[   ]draw-0.1.1-r14.apk2025-07-12 06:30 939K 
[   ]drogon-1.9.4-r2.apk2025-05-22 06:53 1.4M 
[   ]drogon-dev-1.9.4-r2.apk2025-05-22 06:53 121K 
[   ]drogon-doc-1.9.4-r2.apk2025-05-22 06:53 2.3K 
[   ]droidcam-2.1.3-r1.apk2024-11-08 21:25 19K 
[   ]droidcam-gui-2.1.3-r1.apk2024-11-08 21:25 33K 
[   ]drone-cli-1.8.0-r11.apk2025-07-12 06:30 5.6M 
[   ]dropwatch-1.5.5-r0.apk2025-06-25 13:38 17K 
[   ]dropwatch-doc-1.5.5-r0.apk2025-06-25 13:38 3.7K 
[   ]drumgizmo-0.9.20-r1.apk2024-10-25 19:09 395K 
[   ]drupal7-7.103-r0.apk2024-12-04 17:28 3.3M 
[   ]drupal7-doc-7.103-r0.apk2024-12-04 17:28 57K 
[   ]dsp-2.0-r0.apk2025-05-13 21:59 158K 
[   ]dsp-doc-2.0-r0.apk2025-05-13 21:59 10K 
[   ]dstask-0.26-r15.apk2025-07-12 06:30 1.4M 
[   ]dstask-bash-completion-0.26-r15.apk2025-07-12 06:30 2.1K 
[   ]dstask-import-0.26-r15.apk2025-07-12 06:30 3.2M 
[   ]dstask-zsh-completion-0.26-r15.apk2025-07-12 06:30 1.7K 
[   ]dublin-traceroute-0.4.2-r4.apk2024-10-25 19:09 46K 
[   ]dublin-traceroute-contrib-0.4.2-r4.apk2024-10-25 19:09 2.9K 
[   ]dublin-traceroute-dev-0.4.2-r4.apk2024-10-25 19:09 6.9K 
[   ]dublin-traceroute-doc-0.4.2-r4.apk2024-10-25 19:09 2.3K 
[   ]duc-1.4.5-r0.apk2024-10-25 19:09 88K 
[   ]duc-doc-1.4.5-r0.apk2024-10-25 19:09 9.1K 
[   ]duf-0.8.1-r27.apk2025-07-12 06:30 1.0M 
[   ]dufs-0.43.0-r0.apk2025-01-26 06:27 1.5M 
[   ]dufs-bash-completion-0.43.0-r0.apk2025-01-26 06:27 2.3K 
[   ]dufs-doc-0.43.0-r0.apk2025-01-26 06:27 10K 
[   ]dufs-fish-completion-0.43.0-r0.apk2025-01-26 06:27 2.4K 
[   ]dufs-zsh-completion-0.43.0-r0.apk2025-01-26 06:27 2.7K 
[   ]dulcepan-1.0.2-r0.apk2024-10-25 19:09 20K 
[   ]dum-0.1.20-r1.apk2025-04-02 17:03 324K 
[   ]dune-deps-1.3.0-r2.apk2024-10-25 19:09 1.0M 
[   ]dustracing2d-2.1.1-r1.apk2024-10-25 19:09 5.1M 
[   ]dvdbackup-0.4.2-r1.apk2024-10-25 19:09 16K 
[   ]dvdbackup-doc-0.4.2-r1.apk2024-10-25 19:09 7.6K 
[   ]dvdbackup-lang-0.4.2-r1.apk2024-10-25 19:09 1.4K 
[   ]dvisvgm-3.4.4-r0.apk2025-05-18 22:43 1.1M 
[   ]dvisvgm-doc-3.4.4-r0.apk2025-05-18 22:43 26K 
[   ]dwl-0.7-r0.apk2024-10-25 19:09 27K 
[   ]dwl-doc-0.7-r0.apk2024-10-25 19:09 3.1K 
[   ]e16-1.0.30-r0.apk2024-11-05 13:22 787K 
[   ]e16-doc-1.0.30-r0.apk2024-11-05 13:22 27K 
[   ]e16-lang-1.0.30-r0.apk2024-11-05 13:22 380K 
[   ]eatmemory-0.1.6-r2.apk2024-10-25 19:09 4.4K 
[   ]eboard-1.1.3-r1.apk2024-10-25 19:09 1.4M 
[   ]eboard-doc-1.1.3-r1.apk2024-10-25 19:09 4.7K 
[   ]ecasound-2.9.3-r4.apk2025-02-24 21:33 679K 
[   ]ecasound-dev-2.9.3-r4.apk2025-02-24 21:33 1.1M 
[   ]ecasound-doc-2.9.3-r4.apk2025-02-24 21:33 38K 
[   ]eccodes-2.42.0-r0.apk2025-07-07 15:58 11M 
[   ]eclib-20250627-r0.apk2025-06-28 06:15 341K 
[   ]eclib-dev-20250627-r0.apk2025-06-28 06:15 96K 
[   ]eclib-doc-20250627-r0.apk2025-06-28 06:15 28K 
[   ]eclib-libs-20250627-r0.apk2025-06-28 06:15 1.1M 
[   ]eclib-static-20250627-r0.apk2025-06-28 06:15 20M 
[   ]eclipse-ecj-4.36-r1.apk2025-07-16 08:47 2.5M 
[   ]ecos-2.0.10-r0.apk2024-10-25 19:09 38K 
[   ]ecos-dev-2.0.10-r0.apk2024-10-25 19:09 28K 
[   ]edit-1.2.0-r0.apk2025-06-12 21:52 237K 
[   ]edit-doc-1.2.0-r0.apk2025-06-12 21:52 2.2K 
[   ]edward-1.1.0-r0.apk2024-10-25 19:09 1.9M 
[   ]edward-doc-1.1.0-r0.apk2024-10-25 19:09 5.3K 
[   ]efibootguard-0.16-r1.apk2025-07-23 04:04 86K 
[   ]efibootguard-bash-completion-0.16-r1.apk2025-07-23 04:04 3.6K 
[   ]efibootguard-dev-0.16-r1.apk2025-07-23 04:04 23K 
[   ]efibootguard-zsh-completion-0.16-r1.apk2025-07-23 04:04 2.9K 
[   ]efl-1.28.1-r2.apk2025-03-26 11:50 34M 
[   ]efl-dev-1.28.1-r2.apk2025-03-26 11:50 1.8M 
[   ]efl-gdb-1.28.1-r2.apk2025-03-26 11:50 1.7K 
[   ]eiwd-3.9-r0.apk2025-07-23 04:04 803K 
[   ]eiwd-doc-3.9-r0.apk2025-07-23 04:04 20K 
[   ]eiwd-openrc-3.9-r0.apk2025-07-23 04:04 1.9K 
[   ]elastic-beats-8.14.2-r6.apk2025-07-12 06:30 1.2K 
[   ]electron-35.7.2-r1.apk2025-07-23 04:04 96M 
[   ]electron-dev-35.7.2-r1.apk2025-07-23 04:04 335K 
[   ]electron-lang-35.7.2-r1.apk2025-07-23 04:04 10M 
[   ]electron-tasje-0.7.3-r0.apk2024-10-25 19:09 1.2M 
[   ]element-desktop-1.11.105-r0.apk2025-07-14 07:46 34M 
[   ]elementary-calculator-8.0.0-r0.apk2024-10-28 22:05 71K 
[   ]elementary-calculator-lang-8.0.0-r0.apk2024-10-28 22:05 57K 
[   ]elementary-camera-8.0.1-r0.apk2025-05-13 21:59 86K 
[   ]elementary-camera-lang-8.0.1-r0.apk2025-05-13 21:59 34K 
[   ]elementary-dock-8.0.2-r0.apk2025-05-24 22:57 88K 
[   ]elementary-dock-lang-8.0.2-r0.apk2025-05-24 22:57 28K 
[   ]elementary-feedback-8.0.1-r0.apk2025-05-13 21:59 46K 
[   ]elementary-feedback-lang-8.0.1-r0.apk2025-05-13 21:59 46K 
[   ]elementary-icon-theme-8.1.0-r0.apk2025-05-13 21:59 5.0M 
[   ]elementary-music-8.0.0-r0.apk2024-10-28 22:05 74K 
[   ]elementary-music-lang-8.0.0-r0.apk2024-10-28 22:05 47K 
[   ]elementary-photos-8.0.1-r0.apk2024-12-04 11:57 1.1M 
[   ]elementary-photos-lang-8.0.1-r0.apk2024-12-04 11:57 1.0M 
[   ]elementary-settings-daemon-8.3.0-r0.apk2025-05-26 09:05 81K 
[   ]elementary-settings-daemon-lang-8.3.0-r0.apk2025-05-26 09:05 74K 
[   ]elementary-settings-daemon-openrc-8.3.0-r0.apk2025-05-26 09:05 1.8K 
[   ]elementary-sound-theme-1.1.0-r0.apk2024-11-10 22:07 83K 
[   ]elementary-theme-8.1.0-r0.apk2025-01-12 20:47 1.5M 
[   ]elementary-videos-8.0.1-r0.apk2024-11-10 22:06 111K 
[   ]elementary-videos-lang-8.0.1-r0.apk2024-11-10 22:06 85K 
[   ]elf_diff-0.7.1-r3.apk2024-10-25 19:09 108K 
[   ]elf_diff-pyc-0.7.1-r3.apk2024-10-25 19:09 108K 
[   ]elfio-3.12-r0.apk2024-10-25 19:09 1.4K 
[   ]elfio-dev-3.12-r0.apk2024-10-25 19:09 55K 
[   ]eludris-0.3.3-r1.apk2024-10-25 19:09 1.8M 
[   ]eludris-doc-0.3.3-r1.apk2024-10-25 19:09 2.3K 
[   ]emacs-ace-window-0.10.0_git20220911-r0.apk2024-10-25 19:09 23K 
[   ]emacs-avy-0.5.0_git20230420-r0.apk2024-10-25 19:09 43K 
[   ]emacs-avy-embark-collect-1.1-r0.apk2025-05-03 20:02 3.8K 
[   ]emacs-centaur-tabs-3.2_git20230601-r0.apk2024-10-25 19:09 55K 
[   ]emacs-closql-1.2.1_git20240712-r0.apk2024-10-25 19:09 14K 
[   ]emacs-consult-1.4_git20240405-r0.apk2024-10-25 19:09 138K 
[   ]emacs-derl-0_git20231004-r0.apk2024-10-25 19:09 23K 
[   ]emacs-elfeed-3.4.2-r0.apk2025-05-03 20:02 91K 
[   ]emacs-emacsql-3.1.1_git20240714-r0.apk2024-10-25 19:09 23K 
[   ]emacs-emacsql-mysql-3.1.1_git20240714-r0.apk2024-10-25 19:09 6.1K 
[   ]emacs-emacsql-psql-3.1.1_git20240714-r0.apk2024-10-25 19:09 5.9K 
[   ]emacs-emacsql-sqlite-3.1.1_git20240714-r0.apk2024-10-25 19:09 18K 
[   ]emacs-emacsql-sqlite-module-3.1.1_git20240714-r0.apk2024-10-25 19:09 4.3K 
[   ]emacs-embark-1.1-r0.apk2025-05-03 20:02 111K 
[   ]emacs-embark-consult-1.1-r0.apk2025-05-03 20:02 10K 
[   ]emacs-ement-0.16-r0.apk2025-05-03 20:02 291K 
[   ]emacs-epkg-3.3.3_git20240713-r0.apk2024-10-25 19:09 37K 
[   ]emacs-fossil-0_git20230504-r0.apk2024-10-25 19:09 15K 
[   ]emacs-gnosis-0.3.2-r0.apk2024-10-25 19:09 62K 
[   ]emacs-hackernews-0.7.0-r0.apk2024-10-25 19:09 16K 
[   ]emacs-helm-3.9.7_git20240329-r0.apk2024-10-25 19:09 815K 
[   ]emacs-hnreader-0_git20221116-r0.apk2024-10-25 19:09 9.8K 
[   ]emacs-hydra-0.15.0_git20220910-r0.apk2024-10-25 19:09 46K 
[   ]emacs-llama-0.3.1_git20240722-r0.apk2024-10-25 19:09 9.9K 
[   ]emacs-lsp-booster-0.2.1-r0.apk2025-04-13 20:28 389K 
[   ]emacs-lsp-booster-doc-0.2.1-r0.apk2025-04-13 20:28 2.3K 
[   ]emacs-persist-0.6_git20240114-r0.apk2024-10-25 19:09 6.6K 
[   ]emacs-powerline-2.4_git20221110-r0.apk2024-10-25 19:09 29K 
[   ]emacs-sqlite3-api-0.18-r0.apk2024-10-25 19:09 18K 
[   ]emacs-svg-lib-0_git20240219-r0.apk2024-10-25 19:09 19K 
[   ]emacs-taxy-0.10.2-r0.apk2025-05-03 20:02 11K 
[   ]emacs-taxy-magit-section-0.14.3-r0.apk2025-05-03 20:02 18K 
[   ]emacs-total-recall-0_git20250426-r0.apk2025-05-03 20:02 18K 
[   ]emacs-total-recall-examples-0_git20250426-r0.apk2025-05-03 20:02 14K 
[   ]empede-0.2.3-r0.apk2024-10-25 19:09 1.6M 
[   ]empede-doc-0.2.3-r0.apk2024-10-25 19:09 2.3K 
[   ]empede-openrc-0.2.3-r0.apk2024-10-25 19:09 1.9K 
[   ]emulationstation-2.11.2-r1.apk2024-10-25 19:09 1.2M 
[   ]emulationstation-theme-gbz35-2.11.2-r1.apk2024-10-25 19:09 3.3M 
[   ]endeavour-43.0-r2.apk2024-12-08 21:43 189K 
[   ]endeavour-dev-43.0-r2.apk2024-12-08 21:43 46K 
[   ]endeavour-doc-43.0-r2.apk2024-12-08 21:43 68K 
[   ]endeavour-lang-43.0-r2.apk2024-12-08 21:43 203K 
[   ]endless-sky-0.10.2-r0.apk2024-10-25 19:09 242M 
[   ]endless-sky-doc-0.10.2-r0.apk2024-10-25 19:09 37K 
[   ]endlessh-1.1-r1.apk2025-05-25 07:49 9.4K 
[   ]endlessh-doc-1.1-r1.apk2025-05-25 07:49 2.7K 
[   ]enjoy-0.3-r1.apk2024-10-25 19:09 12K 
[   ]enlighten-0.9.2-r1.apk2024-10-25 19:09 7.1K 
[   ]enlighten-doc-0.9.2-r1.apk2024-10-25 19:09 3.5K 
[   ]envconsul-0.13.3-r4.apk2025-07-12 06:30 4.6M 
[   ]envsubst-0.1-r1.apk2024-10-25 19:09 4.6K 
[   ]epic6-0_git20250630-r0.apk2025-07-23 04:04 369K 
[   ]epic6-doc-0_git20250630-r0.apk2025-07-23 04:04 17K 
[   ]epic6-script-0_git20250630-r0.apk2025-07-23 04:04 149K 
[   ]epoch-1.3.0-r2.apk2024-10-25 19:09 52K 
[   ]epr-2.4.15-r1.apk2024-10-25 19:09 16K 
[   ]epr-pyc-2.4.15-r1.apk2024-10-25 19:09 24K 
[   ]ergo-ldap-0.0.1-r18.apk2025-07-12 06:30 2.1M 
[   ]ergo-ldap-doc-0.0.1-r18.apk2025-07-12 06:30 2.3K 
[   ]errands-46.2.8-r0.apk2025-05-22 15:24 84K 
[   ]errands-lang-46.2.8-r0.apk2025-05-22 15:24 71K 
[   ]espeakup-0.90-r2.apk2024-10-25 19:09 12K 
[   ]espeakup-openrc-0.90-r2.apk2024-10-25 19:09 1.8K 
[   ]esptool-4.8.1-r0.apk2024-10-25 19:09 424K 
[   ]esptool-pyc-4.8.1-r0.apk2024-10-25 19:09 549K 
[   ]ettercap-0.8.3.1-r3.apk2024-10-25 19:09 610K 
[   ]ettercap-doc-0.8.3.1-r3.apk2024-10-25 19:09 45K 
[   ]eva-0.3.1-r2.apk2024-10-25 19:09 615K 
[   ]evolution-on-3.24.4-r0.apk2024-10-30 14:24 11K 
[   ]eww-0.4.0-r1.apk2024-10-25 19:09 1.5M 
[   ]eww-dbg-0.4.0-r1.apk2024-10-25 19:09 746K 
[   ]exabgp-4.2.24-r0.apk2025-03-26 11:50 385K 
[   ]exabgp-doc-4.2.24-r0.apk2025-03-26 11:50 8.0K 
[   ]exabgp-openrc-4.2.24-r0.apk2025-03-26 11:50 2.2K 
[   ]exabgp-pyc-4.2.24-r0.apk2025-03-26 11:50 778K 
[   ]exercism-3.2.0-r14.apk2025-07-12 06:30 3.9M 
[   ]exercism-bash-completion-3.2.0-r14.apk2025-07-12 06:30 2.0K 
[   ]exercism-fish-completion-3.2.0-r14.apk2025-07-12 06:30 2.4K 
[   ]exercism-zsh-completion-3.2.0-r14.apk2025-07-12 06:30 2.1K 
[   ]extrace-0.9-r0.apk2024-10-25 19:09 11K 
[   ]extrace-doc-0.9-r0.apk2024-10-25 19:09 3.5K 
[   ]extremetuxracer-0.8.3-r0.apk2024-10-25 19:09 40M 
[   ]extremetuxracer-doc-0.8.3-r0.apk2024-10-25 19:09 6.7K 
[   ]extundelete-0.2.4-r1.apk2024-10-25 19:09 39K 
[   ]f_scripts-0.6-r1.apk2024-10-25 19:09 1.4K 
[   ]f_scripts-f_audio-0.6-r1.apk2024-10-25 19:09 3.3K 
[   ]f_scripts-f_files-0.6-r1.apk2024-10-25 19:09 2.9K 
[   ]f_scripts-f_game-0.6-r1.apk2024-10-25 19:09 1.9K 
[   ]f_scripts-f_maps-0.6-r1.apk2024-10-25 19:09 2.1K 
[   ]f_scripts-f_networks-0.6-r1.apk2024-10-25 19:09 3.0K 
[   ]f_scripts-f_phone-0.6-r1.apk2024-10-25 19:09 6.0K 
[   ]f_scripts-f_rss-0.6-r1.apk2024-10-25 19:09 2.5K 
[   ]f_scripts-f_theme-0.6-r1.apk2024-10-25 19:09 2.6K 
[   ]f_scripts-f_timer-0.6-r1.apk2024-10-25 19:09 2.4K 
[   ]f_scripts-f_web-0.6-r1.apk2024-10-25 19:09 2.9K 
[   ]f_scripts-f_youtube-0.6-r1.apk2024-10-25 19:09 2.8K 
[   ]fabric-3.2.2-r1.apk2024-10-25 19:09 55K 
[   ]fabric-pyc-3.2.2-r1.apk2024-10-25 19:09 60K 
[   ]fakeroot-tcp-1.32.1-r1.apk2024-10-25 19:09 30K 
[   ]fastd-23-r0.apk2025-01-27 21:33 71K 
[   ]fastd-doc-23-r0.apk2025-01-27 21:33 3.3K 
[   ]fastd-openrc-23-r0.apk2025-01-27 21:33 1.7K 
[   ]fatback-1.3-r2.apk2024-10-25 19:09 28K 
[   ]fatback-doc-1.3-r2.apk2024-10-25 19:09 16K 
[   ]fathom-1.3.1-r14.apk2025-07-12 06:30 4.6M 
[   ]fatrace-0.17.0-r0.apk2024-10-25 19:09 10K 
[   ]fatrace-doc-0.17.0-r0.apk2024-10-25 19:09 3.3K 
[   ]fatresize-1.1.0-r1.apk2024-10-25 19:09 8.7K 
[   ]fatresize-doc-1.1.0-r1.apk2024-10-25 19:09 15K 
[   ]faultstat-0.01.11-r0.apk2024-10-25 19:09 13K 
[   ]faultstat-bash-completion-0.01.11-r0.apk2024-10-25 19:09 2.3K 
[   ]faultstat-doc-0.01.11-r0.apk2024-10-25 19:09 3.0K 
[   ]faust-2.79.3-r0.apk2025-06-07 17:01 7.5M 
[   ]faust-dev-2.79.3-r0.apk2025-06-07 17:01 1.4M 
[   ]faust-doc-2.79.3-r0.apk2025-06-07 17:01 17M 
[   ]faust-static-2.79.3-r0.apk2025-06-07 17:01 536K 
[   ]faust-tools-2.79.3-r0.apk2025-06-07 17:01 122K 
[   ]faust-vim-2.79.3-r0.apk2025-06-07 17:01 2.6K 
[   ]fava-1.28-r0.apk2024-10-25 19:09 1.1M 
[   ]fava-pyc-1.28-r0.apk2024-10-25 19:09 164K 
[   ]fbcur-1.0.1-r1.apk2024-10-25 19:09 6.7K 
[   ]fbcur-doc-1.0.1-r1.apk2024-10-25 19:09 2.2K 
[   ]fceux-2.6.6-r2.apk2024-10-25 19:09 2.9M 
[   ]fceux-doc-2.6.6-r2.apk2024-10-25 19:09 106K 
[   ]fdm-materials-5.2.2-r1.apk2024-10-25 19:09 60K 
[   ]featherpad-1.5.1-r0.apk2024-10-25 19:09 680K 
[   ]featherpad-lang-1.5.1-r0.apk2024-10-25 19:09 463K 
[   ]felix-2.16.1-r0.apk2025-05-16 08:30 616K 
[   ]femto-2.21.7-r0.apk2025-06-19 06:37 59K 
[   ]femto-doc-2.21.7-r0.apk2025-06-19 06:37 42K 
[   ]fff-2.2-r0.apk2024-10-25 19:09 11K 
[   ]fff-doc-2.2-r0.apk2024-10-25 19:09 9.0K 
[   ]fflas-ffpack-2.5.0-r3.apk2024-10-25 19:09 345K 
[   ]ffms2-5.0-r0.apk2024-10-25 19:09 73K 
[   ]ffms2-dev-5.0-r0.apk2024-10-25 19:09 7.6K 
[   ]ffms2-doc-5.0-r0.apk2024-10-25 19:09 30K 
[   ]ffsend-0.2.76-r4.apk2024-10-25 19:09 1.5M 
[   ]ffsend-bash-completion-0.2.76-r4.apk2024-10-25 19:09 3.6K 
[   ]ffsend-fish-completion-0.2.76-r4.apk2024-10-25 19:09 3.6K 
[   ]ffsend-zsh-completion-0.2.76-r4.apk2024-10-25 19:09 4.6K 
[   ]fheroes2-1.1.10-r0.apk2025-07-23 04:04 1.6M 
[   ]fheroes2-lang-1.1.10-r0.apk2025-07-23 04:04 1.7M 
[   ]fiery-2.0.0-r0.apk2024-10-25 19:09 261K 
[   ]fiery-lang-2.0.0-r0.apk2024-10-25 19:09 54K 
[   ]fildesh-0.2.0-r0.apk2024-10-25 19:09 68K 
[   ]fildesh-doc-0.2.0-r0.apk2024-10-25 19:09 2.1K 
[   ]fildesh-vim-0.2.0-r0.apk2024-10-25 19:09 3.5K 
[   ]filebeat-8.14.2-r6.apk2025-07-12 06:30 28M 
[   ]filebeat-openrc-8.14.2-r6.apk2025-07-12 06:30 2.0K 
[   ]filebrowser-2.27.0-r12.apk2025-07-12 06:30 7.1M 
[   ]filebrowser-openrc-2.27.0-r12.apk2025-07-12 06:30 1.8K 
[   ]fileshelter-6.2.0-r3.apk2025-02-25 06:37 311K 
[   ]fileshelter-openrc-6.2.0-r3.apk2025-02-25 06:37 1.6K 
[   ]filite-0.3.0-r2.apk2024-10-25 19:09 1.1M 
[   ]findtow-0.1-r0.apk2024-10-25 19:09 4.7K 
[   ]finger-0.5-r0.apk2024-10-25 19:09 8.6K 
[   ]finger-doc-0.5-r0.apk2024-10-25 19:09 3.8K 
[   ]firectl-0.2.0-r20.apk2025-07-12 06:30 3.4M 
[   ]firefox-developer-edition-141.0_beta9-r0.apk2025-07-23 04:04 79M 
[   ]firehol-3.1.7-r2.apk2024-10-25 19:09 85K 
[   ]firehol-doc-3.1.7-r2.apk2024-10-25 19:09 675K 
[   ]firehol-openrc-3.1.7-r2.apk2024-10-25 19:09 2.1K 
[   ]flamelens-0.3.1-r0.apk2025-05-03 20:03 1.1M 
[   ]flamelens-doc-0.3.1-r0.apk2025-05-03 20:03 3.7K 
[   ]flang-next-22.0.0_pre20250725-r0.apk2025-07-25 09:23 21M 
[   ]flang-next-dev-22.0.0_pre20250725-r0.apk2025-07-25 09:23 965K 
[   ]flang-next-static-22.0.0_pre20250725-r0.apk2025-07-25 09:23 46M 
[   ]flann-1.9.2-r1.apk2025-02-17 15:07 1.6M 
[   ]flann-dev-1.9.2-r1.apk2025-02-17 15:07 1.0M 
[   ]flann-doc-1.9.2-r1.apk2025-02-17 15:07 2.5K 
[   ]flare-engine-1.14-r0.apk2024-10-25 19:09 4.4M 
[   ]flare-engine-doc-1.14-r0.apk2024-10-25 19:09 2.5K 
[   ]flare-game-1.14-r0.apk2024-10-25 19:09 2.2K 
[   ]flatpak-xdg-utils-1.0.6-r0.apk2024-10-25 19:09 22K 
[   ]flatseal-2.3.1-r0.apk2025-06-19 15:30 43K 
[   ]flatseal-doc-2.3.1-r0.apk2025-06-19 15:30 8.5K 
[   ]flatseal-lang-2.3.1-r0.apk2025-06-19 15:30 79K 
[   ]flauschige-uhr-0.1-r1.apk2024-10-25 19:09 4.5K 
[   ]flawz-0.3.0-r0.apk2024-11-03 21:06 1.1M 
[   ]flawz-bash-completion-0.3.0-r0.apk2024-11-03 21:06 2.1K 
[   ]flawz-doc-0.3.0-r0.apk2024-11-03 21:06 6.0K 
[   ]flawz-fish-completion-0.3.0-r0.apk2024-11-03 21:06 1.9K 
[   ]flawz-zsh-completion-0.3.0-r0.apk2024-11-03 21:06 2.2K 
[   ]flightgear-2024.1.1-r0.apk2025-03-05 00:16 9.8M 
[   ]flightgear-bash-completion-2024.1.1-r0.apk2025-03-05 00:16 5.5K 
[   ]flightgear-dbg-2024.1.1-r0.apk2025-03-05 00:16 21M 
[   ]flightgear-doc-2024.1.1-r0.apk2025-03-05 00:16 58K 
[   ]flightgear-zsh-completion-2024.1.1-r0.apk2025-03-05 00:16 7.3K 
[   ]flint-3.3.1-r0.apk2025-06-17 11:01 4.1M 
[   ]flint-dev-3.3.1-r0.apk2025-06-17 11:01 311K 
[   ]flintqs-1.0-r1.apk2024-10-25 19:09 21K 
[   ]flowd-0.9.1-r11.apk2025-06-30 07:25 78K 
[   ]flowd-dev-0.9.1-r11.apk2025-06-30 07:25 8.1K 
[   ]flowd-doc-0.9.1-r11.apk2025-06-30 07:25 10K 
[   ]flowd-openrc-0.9.1-r11.apk2025-06-30 07:25 1.9K 
[   ]fluent-bit-3.1.10-r1.apk2025-02-17 15:07 5.6M 
[   ]fluent-bit-dev-3.1.10-r1.apk2025-02-17 15:07 115K 
[   ]fluent-bit-openrc-3.1.10-r1.apk2025-02-17 15:07 1.6K 
[   ]flutter-3.32.6-r0.apk2025-07-13 21:22 1.3K 
[   ]flutter-common-3.32.6-r0.apk2025-07-13 21:22 39M 
[   ]flutter-desktop-3.32.6-r0.apk2025-07-13 21:22 31M 
[   ]flutter-developer-3.32.6-r0.apk2025-07-13 21:22 2.1M 
[   ]flutter-glfw-3.32.6-r0.apk2025-07-13 21:22 4.9M 
[   ]flutter-gtk-3.32.6-r0.apk2025-07-13 21:22 4.9M 
[   ]flutter-tool-3.32.6-r0.apk2025-07-13 21:22 15M 
[   ]flutter-tool-developer-3.32.6-r0.apk2025-07-13 21:22 1.7K 
[   ]fnf-0.1-r0.apk2024-10-25 19:09 17K 
[   ]fnf-doc-0.1-r0.apk2024-10-25 19:09 4.6K 
[   ]foma-0.10.0_git20240712-r0.apk2024-10-25 19:09 322K 
[   ]foma-dev-0.10.0_git20240712-r0.apk2024-10-25 19:09 8.5K 
[   ]font-anonymous-pro-1.002-r2.apk2024-10-25 19:09 264K 
[   ]font-aref-ruqaa-1.006-r0.apk2025-04-13 20:28 357K 
[   ]font-babelstone-han-15.1.3-r0.apk2024-10-25 19:09 18M 
[   ]font-cascadia-2407.24-r1.apk2025-05-27 14:36 1.3K 
[   ]font-cascadia-code-2407.24-r1.apk2025-05-27 14:36 526K 
[   ]font-cascadia-mono-2407.24-r1.apk2025-05-27 14:36 507K 
[   ]font-chivo-0_git20221110-r0.apk2024-10-25 19:09 792K 
[   ]font-chivo-mono-0_git20221110-r0.apk2024-10-25 19:09 626K 
[   ]font-comic-neue-2.51-r0.apk2024-10-25 19:09 249K 
[   ]font-comic-neue-doc-2.51-r0.apk2024-10-25 19:09 1.0M 
[   ]font-commit-mono-1.143-r0.apk2024-10-25 19:09 251K 
[   ]font-cousine-0_git20210228-r0.apk2024-10-25 19:09 110K 
[   ]font-fantasque-sans-1.8.0-r0.apk2024-10-25 19:09 1.2K 
[   ]font-fantasque-sans-doc-1.8.0-r0.apk2024-10-25 19:09 5.5K 
[   ]font-fantasque-sans-largelineheight-1.8.0-r0.apk2024-10-25 19:09 316K 
[   ]font-fantasque-sans-largelineheightnoloopk-1.8.0-r0.apk2024-10-25 19:09 316K 
[   ]font-fantasque-sans-noloopk-1.8.0-r0.apk2024-10-25 19:09 316K 
[   ]font-fantasque-sans-normal-1.8.0-r0.apk2024-10-25 19:09 316K 
[   ]font-fira-code-6.2-r0.apk2024-10-25 19:09 836K 
[   ]font-fira-code-vf-6.2-r0.apk2024-10-25 19:09 145K 
[   ]font-firamath-0.3.4-r0.apk2024-10-25 19:09 118K 
[   ]font-fontawesome-4-4.7.0-r3.apk2024-10-25 19:09 205K 
[   ]font-hanazono-20170904-r1.apk2024-10-25 19:09 29M 
[   ]font-intel-one-mono-1.3.0-r0.apk2024-10-25 19:09 281K 
[   ]font-katex-0.16.2-r0.apk2024-10-25 19:09 852K 
[   ]font-material-icons-4.0.0-r0.apk2024-10-25 19:09 652K 
[   ]font-monaspace-1.101-r0.apk2024-10-25 19:09 1.5K 
[   ]font-monaspace-argon-1.101-r0.apk2024-10-25 19:09 2.2M 
[   ]font-monaspace-krypton-1.101-r0.apk2024-10-25 19:09 2.1M 
[   ]font-monaspace-neon-1.101-r0.apk2024-10-25 19:09 2.1M 
[   ]font-monaspace-radon-1.101-r0.apk2024-10-25 19:09 2.7M 
[   ]font-monaspace-xenon-1.101-r0.apk2024-10-25 19:09 2.3M 
[   ]font-monocraft-4.0-r0.apk2024-10-25 19:09 677K 
[   ]font-openmoji-15.1.0-r0.apk2025-05-27 08:43 3.6M 
[   ]font-siji-20190218_git-r2.apk2024-10-25 19:09 24K 
[   ]font-stix-otf-2.13-r0.apk2024-10-25 19:09 2.0M 
[   ]font-stix-ttf-2.13-r0.apk2024-10-25 19:09 430K 
[   ]font-tamzen-1.11.5-r1.apk2024-10-25 19:09 62K 
[   ]font-terminus-ttf-4.49.3-r0.apk2025-07-12 06:30 538K 
[   ]font-tinos-0_git20210228-r0.apk2024-10-25 19:09 199K 
[   ]font-tiresias-0_git20200704-r0.apk2024-10-25 19:09 568K 
[   ]font-tiresias-doc-0_git20200704-r0.apk2024-10-25 19:09 58K 
[   ]foolsm-1.0.21-r0.apk2024-10-25 19:09 33K 
[   ]foolsm-doc-1.0.21-r0.apk2024-10-25 19:09 3.9K 
[   ]foolsm-openrc-1.0.21-r0.apk2024-10-25 19:09 1.6K 
[   ]fpc-3.2.2-r4.apk2024-10-25 19:09 69M 
[   ]fpc-doc-3.2.2-r4.apk2024-10-25 19:09 1.2M 
[   ]fpc-stage0-3.2.2-r3.apk2024-10-25 19:09 6.6M 
[   ]fplll-5.5.0-r0.apk2024-11-20 00:45 48K 
[   ]fplll-dev-5.5.0-r0.apk2024-11-20 00:45 78K 
[   ]fplll-libs-5.5.0-r0.apk2024-11-20 00:45 5.6M 
[   ]fplll-static-5.5.0-r0.apk2024-11-20 00:45 6.4M 
[   ]fplll-strategies-5.5.0-r0.apk2024-11-20 00:45 1.7M 
[   ]fpp-0.9.5-r0.apk2024-10-25 19:09 29K 
[   ]fpp-doc-0.9.5-r0.apk2024-10-25 19:09 5.6K 
[   ]fq-0.13.0-r6.apk2025-07-12 06:30 4.1M 
[   ]freealut-1.1.0-r1.apk2024-10-25 19:09 18K 
[   ]freealut-dev-1.1.0-r1.apk2024-10-25 19:09 24K 
[   ]freediameter-1.5.0-r1.apk2024-10-25 19:09 9.0K 
[   ]freediameter-dev-1.5.0-r1.apk2024-10-25 19:09 54K 
[   ]freediameter-extensions-1.5.0-r1.apk2024-10-25 19:09 357K 
[   ]freediameter-libfdcore-1.5.0-r1.apk2024-10-25 19:09 149K 
[   ]freediameter-libfdproto-1.5.0-r1.apk2024-10-25 19:09 81K 
[   ]freetube-0.23.5-r1.apk2025-05-10 20:47 1.6M 
[   ]frescobaldi-3.3.0-r1.apk2024-10-25 19:09 3.5M 
[   ]frescobaldi-doc-3.3.0-r1.apk2024-10-25 19:09 2.5K 
[   ]frescobaldi-pyc-3.3.0-r1.apk2024-10-25 19:09 1.2M 
[   ]freshrss-1.23.1-r1.apk2024-10-25 19:09 1.5M 
[   ]freshrss-doc-1.23.1-r1.apk2024-10-25 19:09 751K 
[   ]freshrss-lang-1.23.1-r1.apk2024-10-25 19:09 379K 
[   ]freshrss-mysql-1.23.1-r1.apk2024-10-25 19:09 1.5K 
[   ]freshrss-openrc-1.23.1-r1.apk2024-10-25 19:09 2.5K 
[   ]freshrss-pgsql-1.23.1-r1.apk2024-10-25 19:09 1.5K 
[   ]freshrss-sqlite-1.23.1-r1.apk2024-10-25 19:09 1.5K 
[   ]freshrss-themes-1.23.1-r1.apk2024-10-25 19:09 1.5M 
[   ]fulcrum-1.9.8-r1.apk2024-10-25 19:09 901K 
[   ]fulcrum-admin-1.9.8-r1.apk2024-10-25 19:09 7.9K 
[   ]fulcrum-doc-1.9.8-r1.apk2024-10-25 19:09 22K 
[   ]fungw-1.2.1-r0.apk2024-12-30 09:48 14K 
[   ]fungw-c-1.2.1-r0.apk2024-12-30 09:48 8.4K 
[   ]fungw-cli-1.2.1-r0.apk2024-12-30 09:48 24K 
[   ]fungw-dev-1.2.1-r0.apk2024-12-30 09:48 7.6K 
[   ]fungw-doc-1.2.1-r0.apk2024-12-30 09:48 13K 
[   ]fungw-duktape-1.2.1-r0.apk2024-12-30 09:48 18K 
[   ]fungw-fawk-1.2.1-r0.apk2024-12-30 09:48 103K 
[   ]fungw-lua-1.2.1-r0.apk2024-12-30 09:48 15K 
[   ]fungw-mujs-1.2.1-r0.apk2024-12-30 09:48 17K 
[   ]fungw-perl-1.2.1-r0.apk2024-12-30 09:48 49K 
[   ]fungw-python3-1.2.1-r0.apk2024-12-30 09:48 27K 
[   ]fungw-tcl-1.2.1-r0.apk2024-12-30 09:48 14K 
[   ]fusee-nano-0.5.3-r1.apk2024-10-25 19:09 21K 
[   ]fusee-nano-udev-0.5.3-r1.apk2024-10-25 19:09 1.7K 
[   ]fusesoc-2.3-r0.apk2024-10-25 19:09 46K 
[   ]fusesoc-pyc-2.3-r0.apk2024-10-25 19:09 89K 
[   ]futhark-0.25.28-r0.apk2025-03-11 22:54 23M 
[   ]fuzzylite-6.0-r2.apk2025-02-01 16:09 4.5K 
[   ]fuzzylite-dev-6.0-r2.apk2025-02-01 16:09 68K 
[   ]fuzzylite-doc-6.0-r2.apk2025-02-01 16:09 2.1K 
[   ]fuzzylite-libs-6.0-r2.apk2025-02-01 16:09 335K 
[   ]fwallet-1.2.0-r5.apk2025-07-13 21:22 8.1M 
[   ]fxfloorboard-katana-mk2-20240515-r1.apk2024-10-25 19:09 5.5M 
[   ]fxfloorboard-katana-mk2-doc-20240515-r1.apk2024-10-25 19:09 1.1M 
[   ]fzy-1.1-r0.apk2025-07-12 14:05 14K 
[   ]fzy-doc-1.1-r0.apk2025-07-12 14:05 3.7K 
[   ]gambit-4.9.5-r1.apk2025-04-07 10:34 11M 
[   ]gambit-dev-4.9.5-r1.apk2025-04-07 10:34 7.0M 
[   ]gambit-doc-4.9.5-r1.apk2025-04-07 10:34 4.3K 
[   ]game-devices-udev-0.23-r0.apk2024-11-12 10:58 6.2K 
[   ]gamemode-1.8.2-r0.apk2025-02-05 23:30 76K 
[   ]gamemode-dev-1.8.2-r0.apk2025-02-05 23:30 5.1K 
[   ]gamemode-doc-1.8.2-r0.apk2025-02-05 23:30 7.5K 
[   ]gammastep-2.0.9-r3.apk2024-10-25 19:09 90K 
[   ]gammastep-doc-2.0.9-r3.apk2024-10-25 19:09 14K 
[   ]gammastep-lang-2.0.9-r3.apk2024-10-25 19:09 78K 
[   ]gammastep-pyc-2.0.9-r3.apk2024-10-25 19:09 17K 
[   ]gatling-0.16-r6.apk2024-10-25 19:09 146K 
[   ]gatling-doc-0.16-r6.apk2024-10-25 19:09 9.1K 
[   ]gatling-openrc-0.16-r6.apk2024-10-25 19:09 2.8K 
[   ]gaupol-1.12-r2.apk2024-10-25 19:09 276K 
[   ]gaupol-doc-1.12-r2.apk2024-10-25 19:09 2.4K 
[   ]gaupol-lang-1.12-r2.apk2024-10-25 19:09 277K 
[   ]gaupol-pyc-1.12-r2.apk2024-10-25 19:09 419K 
[   ]gb-0.4.4-r32.apk2025-07-12 06:30 6.7M 
[   ]gcli-2.6.1-r0.apk2025-01-26 17:28 120K 
[   ]gcli-doc-2.6.1-r0.apk2025-01-26 17:28 28K 
[   ]gearman-dev-1.1.21-r2.apk2025-05-22 06:53 1.1M 
[   ]gearman-libs-1.1.21-r2.apk2025-05-22 06:53 79K 
[   ]gearmand-1.1.21-r2.apk2025-05-22 06:53 172K 
[   ]gearmand-doc-1.1.21-r2.apk2025-05-22 06:53 186K 
[   ]gearmand-openrc-1.1.21-r2.apk2025-05-22 06:53 1.8K 
[   ]gede-2.18.2-r1.apk2024-10-25 19:09 261K 
[   ]genact-1.4.2-r0.apk2024-10-25 19:09 1.3M 
[   ]geoclue-stumbler-0.2.0-r0.apk2024-12-31 12:56 27K 
[   ]geodns-3.3.0-r14.apk2025-07-12 06:30 4.6M 
[   ]geodns-logs-3.3.0-r14.apk2025-07-12 06:30 4.2M 
[   ]geodns-openrc-3.3.0-r14.apk2025-07-12 06:30 1.8K 
[   ]geomyidae-0.34-r2.apk2024-10-25 19:09 15K 
[   ]geomyidae-doc-0.34-r2.apk2024-10-25 19:09 7.6K 
[   ]geomyidae-openrc-0.34-r2.apk2024-10-25 19:09 2.0K 
[   ]geonames-0.3.1-r2.apk2024-10-25 19:09 827K 
[   ]geonames-dev-0.3.1-r2.apk2024-10-25 19:09 3.0K 
[   ]geonames-doc-0.3.1-r2.apk2024-10-25 19:09 13K 
[   ]geonames-lang-0.3.1-r2.apk2024-10-25 19:09 4.6M 
[   ]geotagging-0.7.4-r0.apk2024-11-12 11:04 430K 
[   ]getmail6-6.19.08-r0.apk2025-05-15 10:05 71K 
[   ]getmail6-doc-6.19.08-r0.apk2025-05-15 10:05 138K 
[   ]getmail6-pyc-6.19.08-r0.apk2025-05-15 10:05 104K 
[   ]getssl-2.48-r0.apk2024-10-25 19:09 82K 
[   ]getting-things-gnome-0.6-r4.apk2024-12-08 21:43 715K 
[   ]getting-things-gnome-doc-0.6-r4.apk2024-12-08 21:43 497K 
[   ]getting-things-gnome-lang-0.6-r4.apk2024-12-08 21:43 229K 
[   ]gf2x-1.3.0-r1.apk2024-10-25 19:09 39K 
[   ]gf2x-dev-1.3.0-r1.apk2024-10-25 19:09 61K 
[   ]gfan-0.6.2-r1.apk2024-10-25 19:09 1.5M 
[   ]ghc-filesystem-1.5.14-r0.apk2024-10-25 19:09 39K 
[   ]ghq-1.8.0-r2.apk2025-07-12 06:30 3.5M 
[   ]ghq-bash-completion-1.8.0-r2.apk2025-07-12 06:30 1.8K 
[   ]ghq-doc-1.8.0-r2.apk2025-07-12 06:30 5.5K 
[   ]ghq-fish-completion-1.8.0-r2.apk2025-07-12 06:30 2.5K 
[   ]ghq-zsh-completion-1.8.0-r2.apk2025-07-12 06:30 2.5K 
[   ]gimp-plugin-gmic-3.5.5-r0.apk2025-07-15 08:26 1.4M 
[   ]ginac-1.8.9-r0.apk2025-05-25 07:49 1.1M 
[   ]ginac-dev-1.8.9-r0.apk2025-05-25 07:49 68K 
[   ]ginac-doc-1.8.9-r0.apk2025-05-25 07:49 98K 
[   ]ginger-2.4.0-r7.apk2024-10-25 19:09 257K 
[   ]ginger-lang-2.4.0-r7.apk2024-10-25 19:09 125K 
[   ]ginger-pyc-2.4.0-r7.apk2024-10-25 19:09 207K 
[   ]gingerbase-2.3.0-r7.apk2024-10-25 19:09 195K 
[   ]gingerbase-lang-2.3.0-r7.apk2024-10-25 19:09 53K 
[   ]gingerbase-pyc-2.3.0-r7.apk2024-10-25 19:09 61K 
[   ]git-bug-0.8.1-r2.apk2025-07-12 06:30 9.5M 
[   ]git-bug-bash-completion-0.8.1-r2.apk2025-07-12 06:30 5.3K 
[   ]git-bug-doc-0.8.1-r2.apk2025-07-12 06:30 17K 
[   ]git-bug-fish-completion-0.8.1-r2.apk2025-07-12 06:30 4.3K 
[   ]git-bug-zsh-completion-0.8.1-r2.apk2025-07-12 06:30 4.0K 
[   ]git-extras-7.4.0-r0.apk2025-07-23 04:04 57K 
[   ]git-extras-bash-completion-7.4.0-r0.apk2025-07-23 04:04 2.9K 
[   ]git-extras-doc-7.4.0-r0.apk2025-07-23 04:04 65K 
[   ]git-graph-0.6.0-r0.apk2024-11-25 23:38 821K 
[   ]git-graph-doc-0.6.0-r0.apk2024-11-25 23:38 6.2K 
[   ]git-quick-stats-2.5.8-r0.apk2024-10-25 19:09 12K 
[   ]git-quick-stats-doc-2.5.8-r0.apk2024-10-25 19:09 2.9K 
[   ]git-revise-0.7.0-r5.apk2024-10-25 19:09 24K 
[   ]git-revise-doc-0.7.0-r5.apk2024-10-25 19:09 5.0K 
[   ]git-revise-pyc-0.7.0-r5.apk2024-10-25 19:09 42K 
[   ]git-secret-0.5.0-r0.apk2024-10-25 19:09 15K 
[   ]git-secret-doc-0.5.0-r0.apk2024-10-25 19:09 17K 
[   ]git2json-0.2.3-r8.apk2024-10-25 19:09 7.4K 
[   ]git2json-pyc-0.2.3-r8.apk2024-10-25 19:09 5.7K 
[   ]gitoxide-0.14.0-r1.apk2024-10-25 19:09 2.6M 
[   ]gkrellm-2.3.11-r0.apk2025-01-08 22:36 350K 
[   ]gkrellm-dev-2.3.11-r0.apk2025-01-08 22:36 17K 
[   ]gkrellm-doc-2.3.11-r0.apk2025-01-08 22:36 19K 
[   ]gkrellm-lang-2.3.11-r0.apk2025-01-08 22:36 379K 
[   ]gkrellm-server-2.3.11-r0.apk2025-01-08 22:36 51K 
[   ]glfw-wayland-3.3.8-r3.apk2024-10-25 19:09 66K 
[   ]glfw-wayland-dbg-3.3.8-r3.apk2024-10-25 19:09 195K 
[   ]glfw-wayland-dev-3.3.8-r3.apk2024-10-25 19:09 46K 
[   ]gliderlabs-sigil-0.11.0-r6.apk2025-07-12 06:30 3.1M 
[   ]gliderlabs-sigil-doc-0.11.0-r6.apk2025-07-12 06:30 2.4K 
[   ]glmark2-2023.01-r1.apk2024-10-25 19:09 7.9M 
[   ]glmark2-doc-2023.01-r1.apk2024-10-25 19:09 13K 
[   ]gloox-1.0.28-r0.apk2024-10-25 19:09 365K 
[   ]gloox-dev-1.0.28-r0.apk2024-10-25 19:09 936K 
[   ]glow-2.1.1-r1.apk2025-07-12 06:30 5.6M 
[   ]glow-bash-completion-2.1.1-r1.apk2025-07-12 06:30 6.1K 
[   ]glow-doc-2.1.1-r1.apk2025-07-12 06:30 3.2K 
[   ]glow-fish-completion-2.1.1-r1.apk2025-07-12 06:30 4.3K 
[   ]glow-zsh-completion-2.1.1-r1.apk2025-07-12 06:30 4.0K 
[   ]glslviewer-3.2.4-r1.apk2025-02-08 23:46 1.7M 
[   ]gmcapsule-0.9.7-r0.apk2025-01-08 19:12 36K 
[   ]gmcapsule-openrc-0.9.7-r0.apk2025-01-08 19:12 1.9K 
[   ]gmcapsule-pyc-0.9.7-r0.apk2025-01-08 19:12 61K 
[   ]gmenuharness-0.1.4-r2.apk2025-02-17 15:07 37K 
[   ]gmenuharness-dev-0.1.4-r2.apk2025-02-17 15:07 4.1K 
[   ]gmic-3.5.5-r0.apk2025-07-15 08:26 12M 
[   ]gmic-bash-completion-3.5.5-r0.apk2025-07-15 08:26 29K 
[   ]gmic-dev-3.5.5-r0.apk2025-07-15 08:26 7.6K 
[   ]gmic-doc-3.5.5-r0.apk2025-07-15 08:26 222K 
[   ]gmic-libs-3.5.5-r0.apk2025-07-15 08:26 3.0M 
[   ]gmic-qt-3.5.5-r0.apk2025-07-15 08:26 1.7M 
[   ]gmid-2.1.1-r0.apk2024-11-27 19:26 227K 
[   ]gmid-doc-2.1.1-r0.apk2024-11-27 19:26 14K 
[   ]gmid-openrc-2.1.1-r0.apk2024-11-27 19:26 2.2K 
[   ]gmsh-4.12.2-r2.apk2024-10-25 19:09 8.4M 
[   ]gmsh-dbg-4.12.2-r2.apk2024-10-25 19:09 141M 
[   ]gmsh-doc-4.12.2-r2.apk2024-10-25 19:09 1.9M 
[   ]gmsh-py-4.12.2-r2.apk2024-10-25 19:09 6.7K 
[   ]gnome-common-3.18.0-r3.apk2024-10-25 19:09 12K 
[   ]gnome-latex-3.48.0-r0.apk2025-04-07 21:28 363K 
[   ]gnome-latex-doc-3.48.0-r0.apk2025-04-07 21:28 108K 
[   ]gnome-latex-lang-3.48.0-r0.apk2025-04-07 21:28 530K 
[   ]gnome-metronome-1.3.0-r0.apk2024-10-25 19:09 455K 
[   ]gnome-metronome-lang-1.3.0-r0.apk2024-10-25 19:09 25K 
[   ]gnome-mimeapps-0.1-r0.apk2025-05-26 20:57 3.7K 
[   ]gnome-user-share-48.1-r0.apk2025-06-30 07:25 303K 
[   ]gnome-user-share-lang-48.1-r0.apk2025-06-30 07:25 68K 
[   ]gnu-apl-1.9-r0.apk2024-10-25 19:09 1.3M 
[   ]gnu-apl-dev-1.9-r0.apk2024-10-25 19:09 599K 
[   ]gnu-apl-doc-1.9-r0.apk2024-10-25 19:09 1.6M 
[   ]go-away-0.7.0-r0.apk2025-06-10 19:57 7.9M 
[   ]go-away-openrc-0.7.0-r0.apk2025-06-10 19:57 2.3K 
[   ]go-jsonnet-0.21.0-r1.apk2025-07-12 06:30 6.2M 
[   ]go-mtpfs-1.0.0-r28.apk2025-07-12 06:30 1.1M 
[   ]go-passbolt-cli-0.3.2-r4.apk2025-07-12 06:30 5.8M 
[   ]gobang-0.1.0_alpha5-r1.apk2024-10-25 19:09 1.9M 
[   ]gobuster-3.6.0-r13.apk2025-07-12 06:30 3.3M 
[   ]godini-1.0.0-r1.apk2025-07-12 06:30 1.4M 
[   ]godini-doc-1.0.0-r1.apk2025-07-12 06:30 15K 
[   ]godot-4.4.1-r0.apk2025-05-09 21:02 54M 
[   ]godot-doc-4.4.1-r0.apk2025-05-09 21:02 4.6K 
[   ]godot-templates-4.4.1-r0.apk2025-05-09 21:02 42M 
[   ]goguma-0.8.0-r2.apk2025-07-13 21:22 4.4M 
[   ]gomp-1.0.0-r13.apk2025-07-12 06:30 3.4M 
[   ]goomwwm-1.0.0-r5.apk2024-10-25 19:09 46K 
[   ]goreman-0.3.15-r14.apk2025-07-12 06:30 2.3M 
[   ]goshs-1.1.0-r1.apk2025-07-12 06:30 6.0M 
[   ]goshs-doc-1.1.0-r1.apk2025-07-12 06:30 2.3K 
[   ]gossip-0.14.0-r0.apk2025-03-26 11:50 28M 
[   ]gossip-doc-0.14.0-r0.apk2025-03-26 11:50 22K 
[   ]gost-3.1.0-r2.apk2025-07-25 08:20 11M 
[   ]gost-doc-3.1.0-r2.apk2025-07-25 08:20 2.2K 
[   ]gotify-cli-2.3.2-r6.apk2025-07-12 06:30 4.0M 
[   ]goxel-0.15.1-r0.apk2024-10-25 19:09 1.7M 
[   ]gperftools-2.16-r1.apk2025-04-06 12:56 123K 
[   ]gperftools-dev-2.16-r1.apk2025-04-06 12:56 839K 
[   ]gperftools-doc-2.16-r1.apk2025-04-06 12:56 307K 
[   ]gprbuild-22.0.0-r3.apk2024-10-25 19:09 12M 
[   ]gpsbabel-1.9.0-r0.apk2024-10-25 19:09 1.3M 
[   ]gpsbabel-lang-1.9.0-r0.apk2024-10-25 19:09 88K 
[   ]gpscorrelate-2.3-r0.apk2025-03-27 06:36 48K 
[   ]gpscorrelate-cli-2.3-r0.apk2025-03-27 06:36 24K 
[   ]gpscorrelate-doc-2.3-r0.apk2025-03-27 06:36 285K 
[   ]gpscorrelate-lang-2.3-r0.apk2025-03-27 06:36 17K 
[   ]gr-satellites-5.5.0-r5.apk2025-04-08 22:15 488K 
[   ]gr-satellites-dev-5.5.0-r5.apk2025-04-08 22:15 13K 
[   ]gr-satellites-doc-5.5.0-r5.apk2025-04-08 22:15 4.5K 
[   ]granite7-7.5.0-r0.apk2024-10-25 19:09 119K 
[   ]granite7-dev-7.5.0-r0.apk2024-10-25 19:09 42K 
[   ]granite7-lang-7.5.0-r0.apk2024-10-25 19:09 52K 
[   ]grcov-0.8.20-r0.apk2024-11-11 10:02 1.7M 
[   ]greetd-mini-wl-greeter-0_git20230821-r0.apk2024-10-25 19:09 20K 
[   ]greetd-mini-wl-greeter-bash-completion-0_git20230821-r0.apk2024-10-25 19:09 2.2K 
[   ]greetd-mini-wl-greeter-doc-0_git20230821-r0.apk2024-10-25 19:09 3.3K 
[   ]grip-4.2.4-r0.apk2024-10-25 19:09 383K 
[   ]grip-doc-4.2.4-r0.apk2024-10-25 19:09 6.2K 
[   ]grip-lang-4.2.4-r0.apk2024-10-25 19:09 144K 
[   ]gron-0.7.1-r26.apk2025-07-12 06:30 2.4M 
[   ]gron-doc-0.7.1-r26.apk2025-07-12 06:30 6.3K 
[   ]grpc-health-check-0.1.1-r3.apk2024-10-25 19:09 965K 
[   ]grpc-java-1.73.0-r0.apk2025-06-19 06:26 1.5M 
[   ]grpcui-1.4.3-r5.apk2025-07-12 06:30 8.2M 
[   ]grpcurl-1.9.3-r3.apk2025-07-12 06:30 7.8M 
[   ]gsettings-qt-1.0.0-r0.apk2025-03-26 11:50 30K 
[   ]gsettings-qt-dev-1.0.0-r0.apk2025-03-26 11:50 3.5K 
[   ]gsimplecal-2.5.1-r0.apk2024-10-25 19:09 17K 
[   ]gsimplecal-doc-2.5.1-r0.apk2024-10-25 19:09 5.8K 
[   ]gssdp-1.6.4-r0.apk2025-06-28 23:47 47K 
[   ]gssdp-dev-1.6.4-r0.apk2025-06-28 23:47 16K 
[   ]gstreamermm-1.10.0-r6.apk2025-02-17 15:07 463K 
[   ]gstreamermm-dev-1.10.0-r6.apk2025-02-17 15:07 310K 
[   ]gtk-session-lock-0.2.0-r0.apk2025-02-01 16:09 30K 
[   ]gtk-session-lock-dev-0.2.0-r0.apk2025-02-01 16:09 5.3K 
[   ]gtkhash-1.5-r0.apk2024-10-25 19:09 87K 
[   ]gtkhash-lang-1.5-r0.apk2024-10-25 19:09 47K 
[   ]gtklock-4.0.0-r0.apk2025-02-01 16:09 19K 
[   ]gtklock-doc-4.0.0-r0.apk2025-02-01 16:09 3.0K 
[   ]gtkwave-3.3.120-r0.apk2024-10-25 19:09 2.6M 
[   ]gtkwave-doc-3.3.120-r0.apk2024-10-25 19:09 27K 
[   ]guake-3.10-r1.apk2024-10-25 19:09 305K 
[   ]guake-lang-3.10-r1.apk2024-10-25 19:09 188K 
[   ]guake-pyc-3.10-r1.apk2024-10-25 19:09 186K 
[   ]guestfs-tools-1.56.1-r0.apk2025-07-23 04:04 281K 
[   ]guetzli-0_git20191025-r2.apk2025-05-25 07:49 149K 
[   ]guetzli-dev-0_git20191025-r2.apk2025-05-25 07:49 2.3M 
[   ]gufw-24.04-r3.apk2024-11-20 00:45 596K 
[   ]gufw-doc-24.04-r3.apk2024-11-20 00:45 4.5K 
[   ]gufw-lang-24.04-r3.apk2024-11-20 00:45 855K 
[   ]gufw-pyc-24.04-r3.apk2024-11-20 00:45 65K 
[   ]guish-2.6.11-r0.apk2024-12-24 10:42 95K 
[   ]guish-doc-2.6.11-r0.apk2024-12-24 10:42 61K 
[   ]gummiboot-48.1-r11.apk2025-07-23 04:04 41K 
[   ]gummiboot-doc-48.1-r11.apk2025-07-23 04:04 2.9K 
[   ]gummiboot-efistub-48.1-r11.apk2025-07-23 04:04 18K 
[   ]gupnp-1.6.9-r0.apk2025-06-28 23:47 90K 
[   ]gupnp-av-0.14.4-r0.apk2025-06-28 23:47 80K 
[   ]gupnp-av-dev-0.14.4-r0.apk2025-06-28 23:47 42K 
[   ]gupnp-dev-1.6.9-r0.apk2025-06-28 23:47 50K 
[   ]gupnp-dlna-0.12.0-r0.apk2024-12-01 12:57 68K 
[   ]gupnp-dlna-dev-0.12.0-r0.apk2024-12-01 12:57 24K 
[   ]gupnp-doc-1.6.9-r0.apk2025-06-28 23:47 3.8K 
[   ]gx-0.14.3-r31.apk2025-07-12 06:30 4.5M 
[   ]gx-doc-0.14.3-r31.apk2025-07-12 06:30 2.3K 
[   ]gx-go-1.9.0-r33.apk2025-07-12 06:30 4.7M 
[   ]gx-go-doc-1.9.0-r33.apk2025-07-12 06:30 2.3K 
[   ]gxlimg-0_git20240711-r0.apk2025-01-26 06:27 20K 
[   ]h4h5tools-2.2.5-r4.apk2024-10-25 19:09 102K 
[   ]h4h5tools-dev-2.2.5-r4.apk2024-10-25 19:09 8.8K 
[   ]h4h5tools-doc-2.2.5-r4.apk2024-10-25 19:09 2.7K 
[   ]h4h5tools-static-2.2.5-r4.apk2024-10-25 19:09 113K 
[   ]habitctl-0.1.0-r2.apk2024-10-25 19:09 307K 
[   ]halp-0.2.0-r0.apk2024-10-25 19:09 1.0M 
[   ]halp-bash-completion-0.2.0-r0.apk2024-10-25 19:09 2.2K 
[   ]halp-doc-0.2.0-r0.apk2024-10-25 19:09 6.9K 
[   ]halp-fish-completion-0.2.0-r0.apk2024-10-25 19:09 2.0K 
[   ]halp-zsh-completion-0.2.0-r0.apk2024-10-25 19:09 2.4K 
[   ]hamster-time-tracker-3.0.3-r2.apk2024-10-25 19:09 156K 
[   ]hamster-time-tracker-bash-completion-3.0.3-r2.apk2024-10-25 19:09 2.0K 
[   ]hamster-time-tracker-doc-3.0.3-r2.apk2024-10-25 19:09 116K 
[   ]hamster-time-tracker-lang-3.0.3-r2.apk2024-10-25 19:09 206K 
[   ]hamster-time-tracker-pyc-3.0.3-r2.apk2024-10-25 19:09 358K 
[   ]handlebars-1.0.0-r1.apk2024-10-25 19:09 105K 
[   ]handlebars-dev-1.0.0-r1.apk2024-10-25 19:09 32K 
[   ]handlebars-utils-1.0.0-r1.apk2024-10-25 19:09 9.9K 
[   ]hardened-malloc-13-r0.apk2024-10-25 19:09 36K 
[   ]hardinfo2-2.2.10-r0.apk2025-05-18 22:20 3.3M 
[   ]hardinfo2-doc-2.2.10-r0.apk2025-05-18 22:20 2.7K 
[   ]hardinfo2-lang-2.2.10-r0.apk2025-05-18 22:20 273K 
[   ]hardinfo2-openrc-2.2.10-r0.apk2025-05-18 22:20 1.9K 
[   ]hare-http-0.25.2.0-r0.apk2025-06-23 09:34 21K 
[   ]hare-irc-0.25.2.0-r0.apk2025-06-25 07:24 11K 
[   ]hare-madeline-0.1_git20240505-r1.apk2024-11-28 16:16 25K 
[   ]hare-madeline-doc-0.1_git20240505-r1.apk2024-11-28 16:16 2.2K 
[   ]hare-message-0_git20240425-r0.apk2024-11-29 12:12 8.5K 
[   ]hare-scfg-0.24.2-r1.apk2024-11-28 16:16 4.0K 
[   ]haredo-1.0.5-r1.apk2024-11-28 16:16 160K 
[   ]haredo-doc-1.0.5-r1.apk2024-11-28 16:16 4.7K 
[   ]harminv-1.4.2-r1.apk2024-10-25 19:09 7.7K 
[   ]harminv-dev-1.4.2-r1.apk2024-10-25 19:09 3.1K 
[   ]harminv-doc-1.4.2-r1.apk2024-10-25 19:09 5.7K 
[   ]harminv-libs-1.4.2-r1.apk2024-10-25 19:09 23K 
[   ]hashcat-6.2.6-r0.apk2024-10-25 19:09 59M 
[   ]hashcat-doc-6.2.6-r0.apk2024-10-25 19:09 2.1M 
[   ]haskell-language-server-2.9.0.0-r0.apk2024-10-25 19:09 76M 
[   ]hatch-1.14.1-r0.apk2025-04-10 13:26 102K 
[   ]hatch-pyc-1.14.1-r0.apk2025-04-10 13:26 216K 
[   ]hatop-0.8.2-r0.apk2024-10-25 19:09 18K 
[   ]hatop-doc-0.8.2-r0.apk2024-10-25 19:09 3.0K 
[   ]haxe-4.3.3-r1.apk2025-03-26 11:50 12M 
[   ]haxe-doc-4.3.3-r1.apk2025-03-26 11:50 7.7K 
[   ]hctl-0.2.7-r0.apk2025-05-14 00:04 1.2M 
[   ]hddfancontrol-1.6.2-r0.apk2024-10-25 19:09 33K 
[   ]hddfancontrol-openrc-1.6.2-r0.apk2024-10-25 19:09 2.2K 
[   ]hddfancontrol-pyc-1.6.2-r0.apk2024-10-25 19:09 34K 
[   ]hdf4-4.2.15-r2.apk2024-10-25 19:09 246K 
[   ]hdf4-dev-4.2.15-r2.apk2024-10-25 19:09 101K 
[   ]hdf4-doc-4.2.15-r2.apk2024-10-25 19:09 6.0K 
[   ]hdf4-tools-4.2.15-r2.apk2024-10-25 19:09 211K 
[   ]heh-0.6.1-r0.apk2024-10-25 19:09 442K 
[   ]heh-doc-0.6.1-r0.apk2024-10-25 19:09 4.1K 
[   ]heisenbridge-1.15.3-r0.apk2025-04-20 05:12 67K 
[   ]heisenbridge-pyc-1.15.3-r0.apk2025-04-20 05:12 155K 
[   ]helm-diff-3.11.0-r2.apk2025-07-12 06:30 20M 
[   ]helm-ls-0.4.1-r0.apk2025-07-23 04:04 17M 
[   ]helm-ls-doc-0.4.1-r0.apk2025-07-23 04:04 2.2K 
[   ]helm-mapkubeapis-0.5.2-r7.apk2025-07-12 06:30 19M 
[   ]helm-unittest-0.8.2-r1.apk2025-07-12 06:30 11M 
[   ]helmfile-1.1.2-r1.apk2025-07-12 06:30 45M 
[   ]helmfile-bash-completion-1.1.2-r1.apk2025-07-12 06:30 6.1K 
[   ]helmfile-doc-1.1.2-r1.apk2025-07-12 06:30 2.2K 
[   ]helmfile-fish-completion-1.1.2-r1.apk2025-07-12 06:30 4.3K 
[   ]helmfile-zsh-completion-1.1.2-r1.apk2025-07-12 06:30 4.0K 
[   ]helvum-0.5.1-r0.apk2024-10-25 19:09 307K 
[   ]herbe-1.0.0-r0.apk2024-10-25 19:09 5.5K 
[   ]hex-0.6.0-r0.apk2024-10-25 19:09 285K 
[   ]hexdiff-0.0.53-r3.apk2025-05-25 07:49 15K 
[   ]hexdiff-doc-0.0.53-r3.apk2025-05-25 07:49 3.7K 
[   ]hexedit-1.6_git20230905-r0.apk2024-10-25 19:09 17K 
[   ]hexedit-doc-1.6_git20230905-r0.apk2024-10-25 19:09 5.6K 
[   ]hfst-3.16.2-r0.apk2025-04-02 17:03 1.4M 
[   ]hfst-dev-3.16.2-r0.apk2025-04-02 17:03 209K 
[   ]hfst-doc-3.16.2-r0.apk2025-04-02 17:03 70K 
[   ]hfst-libs-3.16.2-r0.apk2025-04-02 17:03 1.9M 
[   ]hiawatha-11.6-r1.apk2025-05-27 11:07 183K 
[   ]hiawatha-doc-11.6-r1.apk2025-05-27 11:07 21K 
[   ]hiawatha-letsencrypt-11.6-r1.apk2025-05-27 11:07 17K 
[   ]hiawatha-openrc-11.6-r1.apk2025-05-27 11:07 1.7K 
[   ]hidrd-0.2.0_git20190603-r1.apk2024-10-25 19:09 77K 
[   ]hidrd-dev-0.2.0_git20190603-r1.apk2024-10-25 19:09 130K 
[   ]highctidh-1.0.2024092800-r0.apk2024-11-25 20:23 364K 
[   ]highctidh-dev-1.0.2024092800-r0.apk2024-11-25 20:23 403K 
[   ]highfive-2.10.1-r0.apk2025-01-15 02:50 75K 
[   ]hikari-2.3.3-r6.apk2024-10-25 19:09 941K 
[   ]hikari-doc-2.3.3-r6.apk2024-10-25 19:09 14K 
[   ]hikari-unlocker-2.3.3-r6.apk2024-10-25 19:09 4.2K 
[   ]hilbish-2.3.4-r6.apk2025-07-12 06:30 3.3M 
[   ]hilbish-doc-2.3.4-r6.apk2025-07-12 06:30 25K 
[   ]himitsu-firefox-0.6-r1.apk2024-11-28 16:16 206K 
[   ]himitsu-git-0.3.0-r0.apk2024-10-25 19:09 137K 
[   ]himitsu-keyring-0.2.0-r0.apk2024-10-25 19:09 13K 
[   ]hiprompt-gtk-py-0.8.0-r0.apk2024-10-25 19:09 8.3K 
[   ]hitide-0.15.0-r0.apk2024-10-25 19:09 1.9M 
[   ]hitide-openrc-0.15.0-r0.apk2024-10-25 19:09 2.1K 
[   ]homebank-5.9.1-r0.apk2025-05-08 12:22 2.0M 
[   ]homebank-lang-5.9.1-r0.apk2025-05-08 12:22 942K 
[   ]honeybee-0.2.0-r1.apk2025-07-08 23:15 1.5M 
[   ]honeybee-doc-0.2.0-r1.apk2025-07-08 23:15 3.4K 
[   ]hopalong-0.1-r3.apk2024-10-25 19:09 22K 
[   ]horizon-0.9.6-r9.apk2024-10-25 19:09 204K 
[   ]horizon-dbg-0.9.6-r9.apk2024-10-25 19:09 4.0M 
[   ]horizon-dev-0.9.6-r9.apk2024-10-25 19:09 4.9K 
[   ]horizon-doc-0.9.6-r9.apk2024-10-25 19:09 21K 
[   ]horizon-image-0.9.6-r9.apk2024-10-25 19:09 67K 
[   ]horizon-tools-0.9.6-r9.apk2024-10-25 19:09 82K 
[   ]horust-0.1.7-r2.apk2024-10-25 19:09 1.0M 
[   ]horust-doc-0.1.7-r2.apk2024-10-25 19:09 9.2K 
[   ]hping3-20051105-r4.apk2024-10-25 19:09 68K 
[   ]hping3-doc-20051105-r4.apk2024-10-25 19:09 17K 
[   ]hpnssh-18.6.2-r0.apk2025-03-26 11:50 2.4M 
[   ]hpnssh-doc-18.6.2-r0.apk2025-03-26 11:50 99K 
[   ]hsetroot-1.0.5-r1.apk2024-10-25 19:09 11K 
[   ]hstdb-2.1.0-r2.apk2024-10-25 19:09 852K 
[   ]htmlcxx-0.87-r1.apk2024-10-25 19:09 63K 
[   ]htmlcxx-dev-0.87-r1.apk2024-10-25 19:09 21K 
[   ]htslib-1.19-r0.apk2024-10-25 19:09 389K 
[   ]htslib-dev-1.19-r0.apk2024-10-25 19:09 115K 
[   ]htslib-doc-1.19-r0.apk2024-10-25 19:09 23K 
[   ]htslib-static-1.19-r0.apk2024-10-25 19:09 496K 
[   ]htslib-tools-1.19-r0.apk2024-10-25 19:09 1.2M 
[   ]httpie-oauth-1.0.2-r9.apk2024-10-25 19:09 3.4K 
[   ]httpie-oauth-pyc-1.0.2-r9.apk2024-10-25 19:09 2.3K 
[   ]httplz-2.2.0-r0.apk2025-05-18 15:38 1.2M 
[   ]httplz-doc-2.2.0-r0.apk2025-05-18 15:38 2.3K 
[   ]httpx-1.7.0-r3.apk2025-07-12 06:30 13M 
[   ]httpx-doc-1.7.0-r3.apk2025-07-12 06:30 2.3K 
[   ]httrack-3.49.2-r5.apk2024-10-25 19:09 765K 
[   ]httrack-doc-3.49.2-r5.apk2024-10-25 19:09 528K 
[   ]hub-2.14.2-r32.apk2025-07-12 06:30 2.7M 
[   ]hub-bash-completion-2.14.2-r32.apk2025-07-12 06:30 4.6K 
[   ]hub-doc-2.14.2-r32.apk2025-07-12 06:30 42K 
[   ]hub-fish-completion-2.14.2-r32.apk2025-07-12 06:30 3.3K 
[   ]hub-zsh-completion-2.14.2-r32.apk2025-07-12 06:30 3.7K 
[   ]hubble-cli-0.13.6-r6.apk2025-07-12 06:30 17M 
[   ]hubble-cli-bash-completion-0.13.6-r6.apk2025-07-12 06:30 5.1K 
[   ]hubble-cli-fish-completion-0.13.6-r6.apk2025-07-12 06:30 4.3K 
[   ]hubble-cli-zsh-completion-0.13.6-r6.apk2025-07-12 06:30 4.0K 
[   ]hunspell-ca-es-3.0.7-r0.apk2024-10-25 19:09 731K 
[   ]hunspell-fr-7.0-r0.apk2025-06-13 15:23 1.2M 
[   ]hunspell-fr-doc-7.0-r0.apk2025-06-13 15:23 2.9K 
[   ]hurl-6.1.1-r0.apk2025-05-03 20:03 1.7M 
[   ]hurl-bash-completion-6.1.1-r0.apk2025-05-03 20:03 2.3K 
[   ]hurl-doc-6.1.1-r0.apk2025-05-03 20:03 8.8K 
[   ]hurl-fish-completion-6.1.1-r0.apk2025-05-03 20:03 3.5K 
[   ]hurl-zsh-completion-6.1.1-r0.apk2025-05-03 20:03 4.0K 
[   ]hurlfmt-6.1.1-r0.apk2025-05-03 20:03 799K 
[   ]hw-probe-1.6.6-r2.apk2025-06-30 07:25 124K 
[   ]hwatch-0.3.11-r0.apk2024-10-25 19:09 971K 
[   ]hwatch-doc-0.3.11-r0.apk2024-10-25 19:09 3.0K 
[   ]hwatch-fish-completion-0.3.11-r0.apk2024-10-25 19:09 1.8K 
[   ]hwatch-zsh-completion-0.3.11-r0.apk2024-10-25 19:09 1.9K 
[   ]hx-1.0.15-r0.apk2024-10-25 19:09 15K 
[   ]hx-doc-1.0.15-r0.apk2024-10-25 19:09 4.8K 
[   ]hyfetch-1.99.0-r1.apk2024-10-25 19:09 433K 
[   ]hyfetch-bash-completion-1.99.0-r1.apk2024-10-25 19:09 3.3K 
[   ]hyfetch-doc-1.99.0-r1.apk2024-10-25 19:09 17K 
[   ]hyfetch-pyc-1.99.0-r1.apk2024-10-25 19:09 180K 
[   ]hyfetch-zsh-completion-1.99.0-r1.apk2024-10-25 19:09 2.5K 
[   ]hypnotix-3.5-r0.apk2024-10-25 19:09 110K 
[   ]hypnotix-lang-3.5-r0.apk2024-10-25 19:09 72K 
[   ]hyx-2024.02.29-r0.apk2024-10-25 19:09 17K 
[   ]hyx-doc-2024.02.29-r0.apk2024-10-25 19:09 2.2K 
[   ]i2util-4.2.1-r1.apk2024-10-25 19:09 23K 
[   ]i2util-dev-4.2.1-r1.apk2024-10-25 19:09 48K 
[   ]i2util-doc-4.2.1-r1.apk2024-10-25 19:09 4.7K 
[   ]i3bar-river-1.1.0-r0.apk2025-03-26 11:50 488K 
[   ]i3status-rust-0.34.0-r0.apk2025-07-23 04:04 4.2M 
[   ]i3status-rust-doc-0.34.0-r0.apk2025-07-23 04:04 33K 
[   ]ibus-typing-booster-2.27.24-r0.apk2025-07-25 16:54 12M 
[   ]ibus-typing-booster-lang-2.27.24-r0.apk2025-07-25 16:54 249K 
[   ]ibus-typing-booster-pyc-2.27.24-r0.apk2025-07-25 16:54 804K 
[   ]icesprog-0_git20240108-r1.apk2024-10-25 19:09 9.4K 
[   ]icesprog-udev-0_git20240108-r1.apk2024-10-25 19:09 1.9K 
[   ]icestorm-0_git20240517-r0.apk2024-10-25 19:09 16M 
[   ]icingaweb2-module-businessprocess-2.5.1-r0.apk2025-02-17 15:07 109K 
[   ]icingaweb2-module-businessprocess-doc-2.5.1-r0.apk2025-02-17 15:07 2.0M 
[   ]icingaweb2-module-fileshipper-1.2.0-r3.apk2024-10-25 19:09 11K 
[   ]icingaweb2-module-fileshipper-doc-1.2.0-r3.apk2024-10-25 19:09 231K 
[   ]icingaweb2-module-generictts-2.1.0-r0.apk2024-10-25 19:09 6.6K 
[   ]icingaweb2-module-generictts-doc-2.1.0-r0.apk2024-10-25 19:09 1.8K 
[   ]icingaweb2-module-pnp-1.1.0-r1.apk2024-10-25 19:09 9.0K 
[   ]icingaweb2-module-pnp-doc-1.1.0-r1.apk2024-10-25 19:09 1.6K 
[   ]identities-0.1.4-r0.apk2025-05-05 21:16 13K 
[   ]identme-0.6.0-r0.apk2025-04-03 12:33 47K 
[   ]idesk-1-r1.apk2024-10-25 19:09 68K 
[   ]ideviceinstaller-1.1.1-r4.apk2024-10-30 22:44 14K 
[   ]ideviceinstaller-doc-1.1.1-r4.apk2024-10-30 22:44 2.5K 
[   ]idevicerestore-1.0.0-r4.apk2024-10-30 22:44 81K 
[   ]idevicerestore-doc-1.0.0-r4.apk2024-10-30 22:44 2.5K 
[   ]ifuse-1.1.4-r5.apk2024-10-30 22:44 10K 
[   ]ifuse-doc-1.1.4-r5.apk2024-10-30 22:44 2.3K 
[   ]igrep-1.2.0-r0.apk2024-10-25 19:09 1.6M 
[   ]igrep-doc-1.2.0-r0.apk2024-10-25 19:09 4.2K 
[   ]ijq-1.1.0-r9.apk2025-07-12 06:30 1.4M 
[   ]ijq-doc-1.1.0-r9.apk2025-07-12 06:30 3.5K 
[   ]imapfilter-2.8.2-r0.apk2024-10-25 19:09 41K 
[   ]imapfilter-doc-2.8.2-r0.apk2024-10-25 19:09 13K 
[   ]imediff-2.6-r1.apk2024-10-25 19:09 42K 
[   ]imediff-doc-2.6-r1.apk2024-10-25 19:09 6.5K 
[   ]imediff-pyc-2.6-r1.apk2024-10-25 19:09 44K 
[   ]imgdiff-1.0.2-r27.apk2025-07-12 06:30 961K 
[   ]imgdiff-doc-1.0.2-r27.apk2025-07-12 06:30 2.3K 
[   ]imrsh-0_git20210320-r1.apk2024-10-25 19:09 8.8K 
[   ]imrsh-dbg-0_git20210320-r1.apk2024-10-25 19:09 19K 
[   ]incus-ui-canonical-0.14.6-r0.apk2025-02-03 23:41 4.5M 
[   ]infnoise-0.3.3-r0.apk2025-05-26 08:58 14K 
[   ]infnoise-doc-0.3.3-r0.apk2025-05-26 08:58 4.1K 
[   ]infnoise-openrc-0.3.3-r0.apk2025-05-26 08:58 1.7K 
[   ]initify-0_git20171210-r1.apk2024-10-25 19:09 3.3K 
[   ]inko-0.18.1_git20250718-r0.apk2025-07-23 04:04 8.8M 
[   ]inko-doc-0.18.1_git20250718-r0.apk2025-07-23 04:04 6.8K 
[   ]innernet-1.6.1-r0.apk2024-10-25 19:09 2.6M 
[   ]innernet-bash-completion-1.6.1-r0.apk2024-10-25 19:09 3.8K 
[   ]innernet-doc-1.6.1-r0.apk2024-10-25 19:09 9.0K 
[   ]innernet-fish-completion-1.6.1-r0.apk2024-10-25 19:09 4.5K 
[   ]innernet-openrc-1.6.1-r0.apk2024-10-25 19:09 2.3K 
[   ]innernet-zsh-completion-1.6.1-r0.apk2024-10-25 19:09 5.5K 
[   ]interception-tools-0.6.8-r2.apk2024-10-25 19:09 111K 
[   ]interception-tools-openrc-0.6.8-r2.apk2024-10-25 19:09 1.7K 
[   ]intiface-central-2.6.7-r1.apk2025-07-13 21:22 10M 
[   ]invidtui-0.4.6-r6.apk2025-07-12 06:30 3.9M 
[   ]iotas-0.11.0-r1.apk2025-05-28 08:22 201K 
[   ]iotas-lang-0.11.0-r1.apk2025-05-28 08:22 124K 
[   ]iotas-pyc-0.11.0-r1.apk2025-05-28 08:22 317K 
[   ]ip2location-8.6.1-r0.apk2024-10-25 19:09 26K 
[   ]ip2location-dev-8.6.1-r0.apk2024-10-25 19:09 13K 
[   ]ip2location-doc-8.6.1-r0.apk2024-10-25 19:09 2.7K 
[   ]ipp-usb-0.9.30-r1.apk2025-07-12 06:30 2.3M 
[   ]ipp-usb-doc-0.9.30-r1.apk2025-07-12 06:30 8.8K 
[   ]ipp-usb-openrc-0.9.30-r1.apk2025-07-12 06:30 1.7K 
[   ]iprange-1.0.4-r1.apk2024-10-25 19:09 20K 
[   ]iprange-doc-1.0.4-r1.apk2024-10-25 19:09 4.5K 
[   ]irccd-4.0.3-r0.apk2024-10-25 19:09 266K 
[   ]irccd-dev-4.0.3-r0.apk2024-10-25 19:09 9.6K 
[   ]irccd-doc-4.0.3-r0.apk2024-10-25 19:09 80K 
[   ]irccd-openrc-4.0.3-r0.apk2024-10-25 19:09 1.8K 
[   ]ircd-hybrid-8.2.47-r0.apk2025-04-05 04:00 497K 
[   ]ircd-hybrid-doc-8.2.47-r0.apk2025-04-05 04:00 3.6K 
[   ]ircdog-0.5.4-r6.apk2025-07-12 06:30 2.3M 
[   ]isoinfo-0_git20131217-r1.apk2024-10-25 19:09 6.8K 
[   ]isomd5sum-1.2.5-r0.apk2025-07-15 08:02 31K 
[   ]isomd5sum-doc-1.2.5-r0.apk2025-07-15 08:02 3.0K 
[   ]it87-src-1_p20240609-r0.apk2024-10-25 19:09 30K 
[   ]iwmenu-0.2.0-r1.apk2025-07-23 04:04 1.4M 
[   ]jack_capture-0.9.73_git20210429-r2.apk2024-10-25 19:09 34K 
[   ]jackal-0.64.0-r16.apk2025-07-12 06:30 11M 
[   ]jackal-openrc-0.64.0-r16.apk2025-07-12 06:30 1.8K 
[   ]jackdaw-0.3.1-r2.apk2025-05-29 12:00 2.0M 
[   ]jackdaw-pyc-0.3.1-r2.apk2025-05-29 12:00 364K 
[   ]jackline-0.1.0-r3.apk2024-10-25 19:09 5.2M 
[   ]jacktrip-2.5.1-r0.apk2025-04-18 04:55 953K 
[   ]jacktrip-doc-2.5.1-r0.apk2025-04-18 04:55 6.4K 
[   ]jadx-1.5.2-r0.apk2025-06-03 07:41 112M 
[   ]jadx-doc-1.5.2-r0.apk2025-06-03 07:41 5.5K 
[   ]jalv-1.6.8-r1.apk2024-10-25 19:09 51K 
[   ]jalv-doc-1.6.8-r1.apk2024-10-25 19:09 3.2K 
[   ]jalv-gtk-1.6.8-r1.apk2024-10-25 19:09 34K 
[   ]jami-qt-20230925-r0.apk2024-10-25 19:09 14M 
[   ]jami-qt-doc-20230925-r0.apk2024-10-25 19:09 2.7K 
[   ]jami-qt-lang-20230925-r0.apk2024-10-25 19:09 2.3M 
[   ]jaq-2.3.0-r0.apk2025-07-23 04:04 731K 
[   ]jaq-doc-2.3.0-r0.apk2025-07-23 04:04 2.2K 
[   ]java-asmtools-8.0.09-r0.apk2024-10-25 19:09 574K 
[   ]java-asmtools-doc-8.0.09-r0.apk2024-10-25 19:09 6.9K 
[   ]java-jtharness-6.0_p12-r0.apk2024-10-25 19:09 4.0M 
[   ]java-jtharness-doc-6.0_p12-r0.apk2024-10-25 19:09 12K 
[   ]java-jtharness-examples-6.0_p12-r0.apk2024-10-25 19:09 219K 
[   ]java-jtreg-7.5_p1-r0.apk2024-10-27 12:04 4.6M 
[   ]jbigkit-2.1-r2.apk2024-10-25 19:09 66K 
[   ]jbigkit-dev-2.1-r2.apk2024-10-25 19:09 31K 
[   ]jbigkit-doc-2.1-r2.apk2024-10-25 19:09 7.3K 
[   ]jdebp-redo-1.4-r1.apk2024-10-25 19:09 92K 
[   ]jdebp-redo-doc-1.4-r1.apk2024-10-25 19:09 12K 
[   ]jdupes-1.28.0-r0.apk2024-10-25 19:09 28K 
[   ]jdupes-doc-1.28.0-r0.apk2024-10-25 19:09 9.0K 
[   ]jedi-language-server-0.45.1-r0.apk2025-05-03 20:03 32K 
[   ]jedi-language-server-pyc-0.45.1-r0.apk2025-05-03 20:03 50K 
[   ]jfrog-cli-2.45.0-r13.apk2025-07-12 06:30 8.8M 
[   ]jhead-3.08-r0.apk2024-10-25 19:09 34K 
[   ]jhead-doc-3.08-r0.apk2024-10-25 19:09 7.9K 
[   ]jotdown-0.7.0-r0.apk2025-03-11 22:54 209K 
[   ]jrsonnet-cli-0.4.2-r1.apk2024-10-25 19:09 534K 
[   ]jsmn-1.1.0-r2.apk2024-10-25 19:09 4.7K 
[   ]json2tsv-1.2-r0.apk2024-10-25 19:09 6.8K 
[   ]json2tsv-doc-1.2-r0.apk2024-10-25 19:09 5.2K 
[   ]json2tsv-jaq-1.2-r0.apk2024-10-25 19:09 1.9K 
[   ]json2tsv-jaq-doc-1.2-r0.apk2024-10-25 19:09 2.3K 
[   ]jsonnet-bundler-0.6.0-r6.apk2025-07-12 06:30 3.1M 
[   ]jsonnet-language-server-0.15.0-r5.apk2025-07-12 06:30 4.2M 
[   ]junit2html-31.0.2-r0.apk2024-10-25 19:09 17K 
[   ]junit2html-pyc-31.0.2-r0.apk2024-10-25 19:09 24K 
[   ]jwt-cli-6.2.0-r0.apk2024-12-14 18:18 939K 
[   ]k2-0_git20220807-r1.apk2024-10-25 19:09 97K 
[   ]k3sup-0.13.6-r6.apk2025-07-12 06:30 2.5M 
[   ]k3sup-bash-completion-0.13.6-r6.apk2025-07-12 06:30 5.0K 
[   ]k3sup-fish-completion-0.13.6-r6.apk2025-07-12 06:30 4.3K 
[   ]k3sup-zsh-completion-0.13.6-r6.apk2025-07-12 06:30 4.0K 
[   ]kabmat-2.7.0-r0.apk2024-10-25 19:09 57K 
[   ]kabmat-doc-2.7.0-r0.apk2024-10-25 19:09 3.5K 
[   ]kannel-1.5.0-r11.apk2024-10-25 19:09 6.2M 
[   ]kannel-dev-1.5.0-r11.apk2024-10-25 19:09 952K 
[   ]kannel-doc-1.5.0-r11.apk2024-10-25 19:09 6.2K 
[   ]kapow-0.7.1-r14.apk2025-07-12 06:30 3.4M 
[   ]katana-1.1.3-r2.apk2025-07-12 06:30 13M 
[   ]katana-doc-1.1.3-r2.apk2025-07-12 06:30 2.3K 
[   ]katarakt-0.2-r1.apk2025-01-29 19:49 86K 
[   ]kbs2-0.7.2-r3.apk2024-10-25 19:09 1.0M 
[   ]kbs2-bash-completion-0.7.2-r3.apk2024-10-25 19:09 3.1K 
[   ]kbs2-fish-completion-0.7.2-r3.apk2024-10-25 19:09 3.2K 
[   ]kbs2-zsh-completion-0.7.2-r3.apk2024-10-25 19:09 4.0K 
[   ]kcbench-0.9.12-r0.apk2025-05-03 20:03 37K 
[   ]kcbench-doc-0.9.12-r0.apk2025-05-03 20:03 20K 
[   ]kdiskmark-3.2.0-r0.apk2025-06-30 16:46 168K 
[   ]kdiskmark-lang-3.2.0-r0.apk2025-06-30 16:46 32K 
[   ]keepassxc-browser-1.8.9-r0.apk2024-10-25 19:09 876K 
[   ]kerberoast-0.2.0-r2.apk2025-05-29 12:00 9.3K 
[   ]kerberoast-pyc-0.2.0-r2.apk2025-05-29 12:00 15K 
[   ]kew-3.4.0-r0.apk2025-07-23 04:04 370K 
[   ]kew-doc-3.4.0-r0.apk2025-07-23 04:04 3.5K 
[   ]keybase-client-6.2.8-r11.apk2025-07-12 06:30 18M 
[   ]keydb-6.3.4-r0.apk2024-10-25 19:09 1.1M 
[   ]keydb-benchmark-6.3.4-r0.apk2024-10-25 19:09 433K 
[   ]keydb-cli-6.3.4-r0.apk2024-10-25 19:09 369K 
[   ]keydb-openrc-6.3.4-r0.apk2024-10-25 19:09 2.6K 
[   ]keystone-0.9.2-r6.apk2024-10-25 19:09 1.4M 
[   ]keystone-dev-0.9.2-r6.apk2024-10-25 19:09 7.3K 
[   ]keystone-python-0.9.2-r6.apk2024-10-25 19:09 1.6M 
[   ]keystone-python-pyc-0.9.2-r6.apk2024-10-25 19:09 9.7K 
[   ]kfc-0.1.4-r0.apk2024-10-25 19:09 58K 
[   ]kgraphviewer-2.5.0-r0.apk2024-10-25 19:09 1.3M 
[   ]kgraphviewer-dev-2.5.0-r0.apk2024-10-25 19:09 6.3K 
[   ]kgraphviewer-lang-2.5.0-r0.apk2024-10-25 19:09 230K 
[   ]khinsider-2.0.7-r21.apk2025-07-12 06:30 3.3M 
[   ]khronos-4.0.1-r0.apk2024-10-25 19:09 53K 
[   ]khronos-lang-4.0.1-r0.apk2024-10-25 19:09 26K 
[   ]kimchi-3.0.0-r8.apk2025-02-12 17:33 529K 
[   ]kimchi-lang-3.0.0-r8.apk2025-02-12 17:33 172K 
[   ]kimchi-pyc-3.0.0-r8.apk2025-02-12 17:33 476K 
[   ]kine-0.10.1-r14.apk2025-07-12 06:30 7.5M 
[   ]kine-doc-0.10.1-r14.apk2025-07-12 06:30 5.1K 
[   ]kirc-0.3.3-r0.apk2025-02-22 16:07 15K 
[   ]kirc-doc-0.3.3-r0.apk2025-02-22 16:07 2.8K 
[   ]kismet-0.202307.1-r8.apk2025-06-12 15:22 12M 
[   ]kismet-linux-bluetooth-0.202307.1-r8.apk2025-06-12 15:22 43K 
[   ]kismet-linux-wifi-0.202307.1-r8.apk2025-06-12 15:22 62K 
[   ]kismet-logtools-0.202307.1-r8.apk2025-06-12 15:22 1.0M 
[   ]kismet-nrf-51822-0.202307.1-r8.apk2025-06-12 15:22 40K 
[   ]kismet-nxp-kw41z-0.202307.1-r8.apk2025-06-12 15:22 41K 
[   ]kjv-0_git20221103-r0.apk2024-10-25 19:09 1.5M 
[   ]klevernotes-1.1.0-r0.apk2024-10-25 19:09 2.6M 
[   ]klevernotes-lang-1.1.0-r0.apk2024-10-25 19:09 142K 
[   ]klfc-1.5.7-r0.apk2024-10-25 19:09 10M 
[   ]klfc-doc-1.5.7-r0.apk2024-10-25 19:09 400K 
[   ]klong-20221212-r0.apk2025-06-07 15:16 333K 
[   ]kmscon-9.0.0-r0.apk2024-10-25 19:09 800K 
[   ]kmscon-doc-9.0.0-r0.apk2024-10-25 19:09 7.7K 
[   ]knative-client-1.18.0-r1.apk2025-07-12 06:30 23M 
[   ]knative-client-bash-completion-1.18.0-r1.apk2025-07-12 06:30 10K 
[   ]knative-client-fish-completion-1.18.0-r1.apk2025-07-12 06:30 4.3K 
[   ]knative-client-zsh-completion-1.18.0-r1.apk2025-07-12 06:30 4.0K 
[   ]knxd-0.14.61-r1.apk2024-12-14 19:38 402K 
[   ]knxd-dev-0.14.61-r1.apk2024-12-14 19:38 25K 
[   ]ko-0.17.1-r6.apk2025-07-12 06:30 9.8M 
[   ]ko-bash-completion-0.17.1-r6.apk2025-07-12 06:30 5.0K 
[   ]ko-fish-completion-0.17.1-r6.apk2025-07-12 06:30 4.3K 
[   ]ko-zsh-completion-0.17.1-r6.apk2025-07-12 06:30 4.0K 
[   ]kodaskanna-0.2.2-r0.apk2025-01-26 06:27 53K 
[   ]kodaskanna-lang-0.2.2-r0.apk2025-01-26 06:27 23K 
[   ]kodi-audioencoder-flac-20.2.0-r1.apk2024-10-25 19:09 44K 
[   ]kodi-audioencoder-lame-20.3.0-r1.apk2024-10-25 19:09 93K 
[   ]kodi-audioencoder-vorbis-20.2.0-r1.apk2024-10-25 19:09 36K 
[   ]kodi-audioencoder-wav-20.2.0-r1.apk2024-10-25 19:09 28K 
[   ]kodi-game-libretro-20.1.0-r0.apk2024-10-25 19:09 120K 
[   ]kodi-game-libretro-atari800-3.1.0.28-r0.apk2024-10-25 19:09 241K 
[   ]kodi-game-libretro-beetle-bsnes-0.9.26.27-r0.apk2024-10-25 19:09 15K 
[   ]kodi-game-libretro-beetle-lynx-1.24.0.50-r0.apk2024-10-25 19:09 15K 
[   ]kodi-game-libretro-beetle-ngp-1.29.0.35-r0.apk2024-10-25 19:09 17K 
[   ]kodi-game-libretro-beetle-pce-fast-1.31.0.43-r0.apk2024-10-25 19:09 21K 
[   ]kodi-game-libretro-beetle-pcfx-0.9.36.36-r0.apk2024-10-25 19:09 54K 
[   ]kodi-game-libretro-beetle-psx-0.9.44.53-r0.apk2024-10-25 19:09 64K 
[   ]kodi-game-libretro-beetle-saturn-1.29.0.40-r0.apk2024-10-25 19:09 20K 
[   ]kodi-game-libretro-beetle-supergrafx-1.29.0.39-r0.apk2024-10-25 19:09 20K 
[   ]kodi-game-libretro-beetle-vb-1.31.0.34-r0.apk2024-10-25 19:09 16K 
[   ]kodi-game-libretro-beetle-wswan-0.9.35.41-r0.apk2024-10-25 19:09 18K 
[   ]kodi-game-libretro-desmume-0.0.1.28-r0.apk2024-10-25 19:09 64K 
[   ]kodi-game-libretro-dosbox-0.74.0.25-r0.apk2024-10-25 19:09 74K 
[   ]kodi-game-libretro-fbneo-1.0.0.71-r0.apk2024-10-25 19:09 180K 
[   ]kodi-game-libretro-frodo-0.0.1.25-r0.apk2024-10-25 19:09 160K 
[   ]kodi-game-libretro-mame2003-0.78.0.54-r0.apk2024-10-25 19:09 1.3M 
[   ]kodi-game-libretro-mgba-0.11.0.44-r0.apk2024-10-25 19:09 19K 
[   ]kodi-game-libretro-nestopia-1.52.0.41-r0.apk2024-10-25 19:09 23K 
[   ]kodi-game-libretro-snes9x-1.62.3.45-r0.apk2024-10-25 19:09 26K 
[   ]kodi-game-libretro-theodore-0.0.1.32-r0.apk2024-10-25 19:09 16K 
[   ]kodi-inputstream-adaptive-21.5.9-r0.apk2025-03-02 16:15 1.0M 
[   ]kodi-inputstream-ffmpegdirect-21.3.7-r0.apk2025-03-02 16:15 349K 
[   ]kodi-inputstream-rtmp-21.1.2-r0.apk2025-03-02 16:15 88K 
[   ]kodi-peripheral-joystick-20.1.9-r0.apk2024-10-25 19:09 217K 
[   ]kodi-pvr-hts-21.2.6-r0.apk2025-03-02 16:15 299K 
[   ]kodi-pvr-iptvsimple-21.10.2-r0.apk2025-03-02 16:15 923K 
[   ]kodi-vfs-libarchive-21.0.2-r0.apk2025-03-02 16:15 112K 
[   ]kodi-vfs-rar-20.1.0-r1.apk2024-10-25 19:09 409K 
[   ]kodi-vfs-sacd-20.1.0-r1.apk2024-10-25 19:09 97K 
[   ]kodi-vfs-sftp-20.2.0-r1.apk2024-10-25 19:09 55K 
[   ]koka-3.2.2-r0.apk2025-07-23 06:01 31M 
[   ]komikku-1.81.0-r0.apk2025-07-12 06:30 439K 
[   ]komikku-lang-1.81.0-r0.apk2025-07-12 06:30 275K 
[   ]komikku-pyc-1.81.0-r0.apk2025-07-12 06:30 784K 
[   ]kompose-1.31.2-r11.apk2025-07-12 06:30 6.7M 
[   ]kompose-bash-completion-1.31.2-r11.apk2025-07-12 06:30 5.6K 
[   ]kompose-fish-completion-1.31.2-r11.apk2025-07-12 06:30 4.4K 
[   ]kompose-zsh-completion-1.31.2-r11.apk2025-07-12 06:30 6.8K 
[   ]kondo-0.8-r0.apk2024-10-25 19:09 652K 
[   ]kondo-bash-completion-0.8-r0.apk2024-10-25 19:09 2.1K 
[   ]kondo-fish-completion-0.8-r0.apk2024-10-25 19:09 2.1K 
[   ]kondo-zsh-completion-0.8-r0.apk2024-10-25 19:09 2.5K 
[   ]kontainer-1.0.1-r0.apk2025-06-17 11:01 193K 
[   ]kopia-0.19.0-r5.apk2025-07-12 06:30 16M 
[   ]kopia-bash-completion-0.19.0-r5.apk2025-07-12 06:30 1.8K 
[   ]kopia-zsh-completion-0.19.0-r5.apk2025-07-12 06:30 1.8K 
[   ]kotlin-language-server-1.3.13-r0.apk2025-04-02 17:03 83M 
[   ]krdp-6.4.2-r0.apk2025-07-05 18:45 189K 
[   ]krdp-lang-6.4.2-r0.apk2025-07-05 18:45 55K 
[   ]krita-plugin-gmic-3.2.4.1-r3.apk2024-10-25 19:09 2.7M 
[   ]kronosnet-1.30-r0.apk2025-05-27 23:07 91K 
[   ]kronosnet-dev-1.30-r0.apk2025-05-27 23:07 2.7M 
[   ]kronosnet-doc-1.30-r0.apk2025-05-27 23:07 106K 
[   ]ktx-4.3.2-r1.apk2025-06-10 02:49 1.2M 
[   ]ktx-dev-4.3.2-r1.apk2025-06-10 02:49 29K 
[   ]ktx-libs-4.3.2-r1.apk2025-06-10 02:49 1.3M 
[   ]kube-no-trouble-0.7.3-r6.apk2025-07-12 06:30 13M 
[   ]kubeconform-0.6.6-r8.apk2025-07-12 06:30 3.2M 
[   ]kubectl-krew-0.4.5-r3.apk2025-07-12 06:30 4.3M 
[   ]kubectl-oidc_login-1.32.4-r1.apk2025-07-12 06:30 5.2M 
[   ]kubeone-1.10.0-r1.apk2025-07-12 06:30 25M 
[   ]kubeone-bash-completion-1.10.0-r1.apk2025-07-12 06:30 6.7K 
[   ]kubeone-doc-1.10.0-r1.apk2025-07-12 06:30 20K 
[   ]kubeone-zsh-completion-1.10.0-r1.apk2025-07-12 06:30 4.0K 
[   ]kubepug-1.7.1-r11.apk2025-07-12 06:30 15M 
[   ]kubepug-bash-completion-1.7.1-r11.apk2025-07-12 06:30 5.1K 
[   ]kubepug-fish-completion-1.7.1-r11.apk2025-07-12 06:30 4.3K 
[   ]kubepug-zsh-completion-1.7.1-r11.apk2025-07-12 06:30 4.0K 
[   ]kubeseal-0.30.0-r1.apk2025-07-12 06:30 11M 
[   ]kubeseal-doc-0.30.0-r1.apk2025-07-12 06:30 5.5K 
[   ]kubesplit-0.3.3-r1.apk2024-10-25 19:09 13K 
[   ]kubesplit-pyc-0.3.3-r1.apk2024-10-25 19:09 13K 
[   ]kuma-cp-2.10.1-r1.apk2025-07-12 06:30 27M 
[   ]kuma-cp-openrc-2.10.1-r1.apk2025-07-12 06:30 2.2K 
[   ]kuma-dp-2.10.1-r1.apk2025-07-12 06:30 22M 
[   ]kuma-dp-openrc-2.10.1-r1.apk2025-07-12 06:30 2.1K 
[   ]kumactl-2.10.1-r1.apk2025-07-12 06:30 25M 
[   ]kumactl-bash-completion-2.10.1-r1.apk2025-07-12 06:30 10K 
[   ]kumactl-fish-completion-2.10.1-r1.apk2025-07-12 06:30 4.3K 
[   ]kumactl-zsh-completion-2.10.1-r1.apk2025-07-12 06:30 4.0K 
[   ]lavacli-2.4-r0.apk2025-06-15 04:00 49K 
[   ]lavacli-doc-2.4-r0.apk2025-06-15 04:00 34K 
[   ]lavacli-pyc-2.4-r0.apk2025-06-15 04:00 88K 
[   ]laze-0.1.38-r0.apk2025-07-23 04:04 1.0M 
[   ]laze-bash-completion-0.1.38-r0.apk2025-07-23 04:04 3.1K 
[   ]laze-doc-0.1.38-r0.apk2025-07-23 04:04 3.6K 
[   ]laze-fish-completion-0.1.38-r0.apk2025-07-23 04:04 3.4K 
[   ]laze-zsh-completion-0.1.38-r0.apk2025-07-23 04:04 3.7K 
[   ]lbb-0.9.1-r4.apk2025-07-12 06:30 3.0M 
[   ]lbb-doc-0.9.1-r4.apk2025-07-12 06:30 19K 
[   ]lcalc-2.1.0-r0.apk2025-05-03 20:03 167K 
[   ]lcalc-dev-2.1.0-r0.apk2025-05-03 20:03 51K 
[   ]lcalc-doc-2.1.0-r0.apk2025-05-03 20:03 437K 
[   ]lcalc-libs-2.1.0-r0.apk2025-05-03 20:03 217K 
[   ]ldapdomaindump-0.9.4-r2.apk2025-05-29 12:00 18K 
[   ]ldapdomaindump-pyc-0.9.4-r2.apk2025-05-29 12:00 30K 
[   ]ldc-runtime-cross-1.41.0-r0.apk2025-06-07 16:12 2.5K 
[   ]ldc-runtime-cross-loongarch64-1.41.0-r0.apk2025-06-07 16:12 6.6M 
[   ]ldc-runtime-cross-ppc64le-1.41.0-r0.apk2025-06-07 16:12 6.9M 
[   ]ldc-runtime-cross-riscv64-1.41.0-r0.apk2025-06-07 16:12 7.1M 
[   ]ldc-runtime-cross-x86_64-1.41.0-r0.apk2025-06-07 16:12 6.7M 
[   ]ledmon-1.0.0-r0.apk2024-10-25 19:09 81K 
[   ]ledmon-doc-1.0.0-r0.apk2024-10-25 19:09 14K 
[   ]lefthook-1.11.14-r1.apk2025-07-12 06:30 5.0M 
[   ]lefthook-doc-1.11.14-r1.apk2025-07-12 06:30 2.2K 
[   ]legume-1.4.2-r10.apk2025-07-12 06:30 1.4M 
[   ]legume-doc-1.4.2-r10.apk2025-07-12 06:30 12K 
[   ]lem-2.2.0-r0.apk2024-10-25 19:09 23M 
[   ]lem-common-2.2.0-r0.apk2024-10-25 19:09 11K 
[   ]lem-gui-2.2.0-r0.apk2024-10-25 19:09 26M 
[   ]lemmy-0.19.12-r0.apk2025-06-23 04:45 12M 
[   ]lemmy-localdb-0.19.12-r0.apk2025-06-23 04:45 2.4K 
[   ]lemmy-openrc-0.19.12-r0.apk2025-06-23 04:45 1.9K 
[   ]lemmy-ui-0.19.12-r0.apk2025-06-23 04:45 52M 
[   ]lemmy-ui-openrc-0.19.12-r0.apk2025-06-23 04:45 2.1K 
[   ]leptosfmt-0.1.33-r0.apk2025-03-26 11:50 966K 
[   ]leptosfmt-doc-0.1.33-r0.apk2025-03-26 11:50 6.0K 
[   ]levmar-dev-2.6-r0.apk2024-10-25 19:09 48K 
[   ]lfm-3.1-r4.apk2024-10-25 19:09 88K 
[   ]lfm-doc-3.1-r4.apk2024-10-25 19:09 2.8K 
[   ]lfm-pyc-3.1-r4.apk2024-10-25 19:09 134K 
[   ]lgogdownloader-3.16-r1.apk2025-03-26 11:50 352K 
[   ]lgogdownloader-doc-3.16-r1.apk2025-03-26 11:50 8.5K 
[   ]libabigail-2.3-r0.apk2024-10-25 19:09 805K 
[   ]libabigail-bash-completion-2.3-r0.apk2024-10-25 19:09 3.0K 
[   ]libabigail-dev-2.3-r0.apk2024-10-25 19:09 1.3M 
[   ]libabigail-doc-2.3-r0.apk2024-10-25 19:09 61K 
[   ]libabigail-tools-2.3-r0.apk2024-10-25 19:09 105K 
[   ]libandroidfw-0_git20250325-r2.apk2025-07-15 17:26 367K 
[   ]libandroidfw-dev-0_git20250325-r2.apk2025-07-15 17:26 7.1K 
[   ]libantlr3c-3.4-r3.apk2024-10-25 19:09 49K 
[   ]libantlr3c-dev-3.4-r3.apk2024-10-25 19:09 58K 
[   ]libantlr4-4.13.2-r0.apk2024-12-12 11:12 427K 
[   ]libantlr4-dev-4.13.2-r0.apk2024-12-12 11:12 925K 
[   ]libaudec-0.3.4-r3.apk2024-10-25 19:09 28K 
[   ]libaudec-dev-0.3.4-r3.apk2024-10-25 19:09 4.3K 
[   ]libaudec-static-0.3.4-r3.apk2024-10-25 19:09 31K 
[   ]libaudec-tools-0.3.4-r3.apk2024-10-25 19:09 28K 
[   ]libb64-2.0.0.1-r0.apk2024-10-25 19:09 4.5K 
[   ]libb64-dev-2.0.0.1-r0.apk2024-10-25 19:09 5.5K 
[   ]libb64-doc-2.0.0.1-r0.apk2024-10-25 19:09 8.0K 
[   ]libbamf-0.5.6-r1.apk2024-10-25 19:09 153K 
[   ]libbamf-dev-0.5.6-r1.apk2024-10-25 19:09 6.4K 
[   ]libbamf-doc-0.5.6-r1.apk2024-10-25 19:09 31K 
[   ]libblastrampoline-5.2.0-r0.apk2024-10-25 19:09 329K 
[   ]libblastrampoline-dev-5.2.0-r0.apk2024-10-25 19:09 94K 
[   ]libbloom-2.0-r0.apk2024-10-25 19:09 7.7K 
[   ]libbloom-dev-2.0-r0.apk2024-10-25 19:09 3.5K 
[   ]libbraiding-1.3.1-r0.apk2024-11-20 00:45 90K 
[   ]libbraiding-dev-1.3.1-r0.apk2024-11-20 00:45 15K 
[   ]libbsoncxx-3.8.0-r0.apk2024-10-25 19:09 44K 
[   ]libbsoncxx-dev-3.8.0-r0.apk2024-10-25 19:09 39K 
[   ]libcec-rpi-6.0.2-r4.apk2024-10-25 19:09 184K 
[   ]libcec-rpi-dev-6.0.2-r4.apk2024-10-25 19:09 25K 
[   ]libclc-next-22.0.0_pre20250725-r0.apk2025-07-25 09:23 18M 
[   ]libcli-1.10.7-r0.apk2024-10-25 19:09 29K 
[   ]libcork-0.15.0-r7.apk2024-10-25 19:09 36K 
[   ]libcork-dev-0.15.0-r7.apk2024-10-25 19:09 30K 
[   ]libcork-tools-0.15.0-r7.apk2024-10-25 19:09 4.8K 
[   ]libcorkipset-1.1.1-r4.apk2024-10-25 19:09 14K 
[   ]libcorkipset-dev-1.1.1-r4.apk2024-10-25 19:09 8.1K 
[   ]libcorkipset-tools-1.1.1-r4.apk2024-10-25 19:09 16K 
[   ]libcotp-3.1.0-r0.apk2024-10-25 19:09 8.6K 
[   ]libcotp-dev-3.1.0-r0.apk2024-10-25 19:09 2.5K 
[   ]libcpdf-2.8.1-r0.apk2025-05-08 12:22 2.5M 
[   ]libcpdf-dev-2.8.1-r0.apk2025-05-08 12:22 17K 
[   ]libcpdf-static-2.8.1-r0.apk2025-05-08 12:22 3.6M 
[   ]libctl-4.5.1-r1.apk2024-10-25 19:09 91K 
[   ]libctl-dev-4.5.1-r1.apk2024-10-25 19:09 39K 
[   ]libctl-doc-4.5.1-r1.apk2024-10-25 19:09 3.0K 
[   ]libcyaml-1.4.2-r0.apk2024-10-25 19:09 20K 
[   ]libcyaml-dev-1.4.2-r0.apk2024-10-25 19:09 13K 
[   ]libcyaml-doc-1.4.2-r0.apk2024-10-25 19:09 8.6K 
[   ]libcyaml-static-1.4.2-r0.apk2024-10-25 19:09 23K 
[   ]libdbusaccess-1.0.20-r0.apk2025-04-13 22:18 17K 
[   ]libdbusaccess-dev-1.0.20-r0.apk2025-04-13 22:18 5.0K 
[   ]libdcmtk-3.6.9-r0.apk2025-01-26 06:27 6.2M 
[   ]libdng-0.2.1-r0.apk2024-12-27 22:09 11K 
[   ]libdng-dev-0.2.1-r0.apk2024-12-27 22:09 3.2K 
[   ]libdng-doc-0.2.1-r0.apk2024-12-27 22:09 4.2K 
[   ]libdng-utils-0.2.1-r0.apk2024-12-27 22:09 6.2K 
[   ]libeantic-2.1.0-r1.apk2025-06-13 04:56 74K 
[   ]libeantic-dev-2.1.0-r1.apk2025-06-13 04:56 18K 
[   ]libecap-1.0.1-r1.apk2024-10-25 19:09 13K 
[   ]libecap-dev-1.0.1-r1.apk2024-10-25 19:09 11K 
[   ]libecap-static-1.0.1-r1.apk2024-10-25 19:09 18K 
[   ]libemf2svg-1.1.0-r2.apk2024-10-25 19:09 154K 
[   ]libemf2svg-utils-1.1.0-r2.apk2024-10-25 19:09 19K 
[   ]liberasurecode-1.6.3-r1.apk2024-10-25 19:09 43K 
[   ]liberasurecode-dev-1.6.3-r1.apk2024-10-25 19:09 18K 
[   ]libettercap-0.8.3.1-r3.apk2024-10-25 19:09 197K 
[   ]libfishsound-1.0.0-r1.apk2024-10-25 19:09 9.5K 
[   ]libfishsound-dev-1.0.0-r1.apk2024-10-25 19:09 58K 
[   ]libfishsound-doc-1.0.0-r1.apk2024-10-25 19:09 75K 
[   ]libfoma-0.10.0_git20240712-r0.apk2024-10-25 19:09 103K 
[   ]libfort-0.4.2-r0.apk2024-10-25 19:09 32K 
[   ]libfort-dev-0.4.2-r0.apk2024-10-25 19:09 17K 
[   ]libfyaml-0.9-r0.apk2024-10-25 19:09 270K 
[   ]libfyaml-dev-0.9-r0.apk2024-10-25 19:09 42K 
[   ]libfyaml-doc-0.9-r0.apk2024-10-25 19:09 7.4K 
[   ]libgivaro-4.2.0-r2.apk2024-10-25 19:09 76K 
[   ]libgivaro-dev-4.2.0-r2.apk2024-10-25 19:09 244K 
[   ]libgivaro-static-4.2.0-r2.apk2024-10-25 19:09 96K 
[   ]libglib-testing-0.1.1-r0.apk2025-05-08 12:22 13K 
[   ]libglib-testing-dev-0.1.1-r0.apk2025-05-08 12:22 5.5K 
[   ]libglib-testing-doc-0.1.1-r0.apk2025-05-08 12:22 25K 
[   ]libgrapheme-2.0.2-r0.apk2025-07-23 04:04 25K 
[   ]libgrapheme-dev-2.0.2-r0.apk2025-07-23 04:04 32K 
[   ]libgrapheme-doc-2.0.2-r0.apk2025-07-23 04:04 21K 
[   ]libguestfs-1.56.1-r0.apk2025-07-23 04:04 314K 
[   ]libguestfs-dev-1.56.1-r0.apk2025-07-23 04:04 29K 
[   ]libguestfs-doc-1.56.1-r0.apk2025-07-23 04:04 569K 
[   ]libguestfs-static-1.56.1-r0.apk2025-07-23 04:04 510K 
[   ]libhomfly-1.02_p6-r1.apk2024-10-25 19:09 15K 
[   ]libhomfly-dev-1.02_p6-r1.apk2024-10-25 19:09 19K 
[   ]libhwpwm-0.4.4-r0.apk2024-10-25 19:09 5.6K 
[   ]libhwpwm-dev-0.4.4-r0.apk2024-10-25 19:09 5.7K 
[   ]libhwpwm-doc-0.4.4-r0.apk2024-10-25 19:09 13K 
[   ]libideviceactivation-1.1.1-r5.apk2024-10-30 22:44 18K 
[   ]libideviceactivation-dev-1.1.1-r5.apk2024-10-30 22:44 3.3K 
[   ]libideviceactivation-doc-1.1.1-r5.apk2024-10-30 22:44 2.2K 
[   ]libigraph-0.10.16-r0.apk2025-06-11 20:19 1.2M 
[   ]libigraph-dev-0.10.16-r0.apk2025-06-11 20:19 91K 
[   ]libiio-0.25-r2.apk2024-10-25 19:09 53K 
[   ]libiio-dev-0.25-r2.apk2024-10-25 19:09 13K 
[   ]libiio-doc-0.25-r2.apk2024-10-25 19:09 18K 
[   ]libiio-pyc-0.25-r2.apk2024-10-25 19:09 21K 
[   ]libiio-tools-0.25-r2.apk2024-10-25 19:09 97K 
[   ]libiml-1.0.5-r3.apk2024-10-25 19:09 67K 
[   ]libiml-dev-1.0.5-r3.apk2024-10-25 19:09 3.9K 
[   ]libiml-static-1.0.5-r3.apk2024-10-25 19:09 71K 
[   ]libinfnoise-0.3.3-r0.apk2025-05-26 08:58 13K 
[   ]libirecovery-1.2.1-r0.apk2024-10-30 22:44 23K 
[   ]libirecovery-dev-1.2.1-r0.apk2024-10-30 22:44 4.1K 
[   ]libirecovery-progs-1.2.1-r0.apk2024-10-30 22:44 8.5K 
[   ]libiscsi-1.19.0-r2.apk2024-10-25 19:09 59K 
[   ]libiscsi-dev-1.19.0-r2.apk2024-10-25 19:09 20K 
[   ]libiscsi-doc-1.19.0-r2.apk2024-10-25 19:09 9.3K 
[   ]libiscsi-static-1.19.0-r2.apk2024-10-25 19:09 74K 
[   ]libiscsi-utils-1.19.0-r2.apk2024-10-25 19:09 91K 
[   ]libjodycode-3.1.1-r0.apk2024-10-25 19:09 8.1K 
[   ]libjodycode-dev-3.1.1-r0.apk2024-10-25 19:09 4.2K 
[   ]libjodycode-doc-3.1.1-r0.apk2024-10-25 19:09 3.7K 
[   ]liblastfm-qt-1.1.10_git20190823-r3.apk2024-10-25 19:09 160K 
[   ]liblastfm-qt-dev-1.1.10_git20190823-r3.apk2024-10-25 19:09 20K 
[   ]libm4ri-20240729-r2.apk2025-01-15 18:06 123K 
[   ]libm4ri-dev-20240729-r2.apk2025-01-15 18:06 32K 
[   ]libm4ri-static-20240729-r2.apk2025-01-15 18:06 135K 
[   ]libm4rie-20200125-r5.apk2025-01-15 18:06 172K 
[   ]libm4rie-dev-20200125-r5.apk2025-01-15 18:06 24K 
[   ]libm4rie-static-20200125-r5.apk2025-01-15 18:06 189K 
[   ]libmdbx-0.11.8-r0.apk2024-10-25 19:09 684K 
[   ]libmdbx-dbg-0.11.8-r0.apk2024-10-25 19:09 2.4M 
[   ]libmdbx-dev-0.11.8-r0.apk2024-10-25 19:09 93K 
[   ]libmdbx-doc-0.11.8-r0.apk2024-10-25 19:09 8.8K 
[   ]libmdf-1.0.29-r0.apk2024-10-25 19:09 35K 
[   ]libmdf-dev-1.0.29-r0.apk2024-10-25 19:09 14K 
[   ]libmhash-0.9.9.9-r3.apk2024-10-25 19:09 96K 
[   ]libmhash-dev-0.9.9.9-r3.apk2024-10-25 19:09 109K 
[   ]libmhash-doc-0.9.9.9-r3.apk2024-10-25 19:09 8.1K 
[   ]libmpfi-1.5.4-r2.apk2024-10-25 19:09 36K 
[   ]libmpfi-dev-1.5.4-r2.apk2024-10-25 19:09 5.4K 
[   ]libmpfi-doc-1.5.4-r2.apk2024-10-25 19:09 19K 
[   ]libmpfi-static-1.5.4-r2.apk2024-10-25 19:09 53K 
[   ]libmrss-0.19.2-r1.apk2024-10-25 19:09 20K 
[   ]libmrss-dev-0.19.2-r1.apk2024-10-25 19:09 30K 
[   ]libmustache-0.5.0-r1.apk2024-10-25 19:09 81K 
[   ]libmygpo-qt-1.1.0-r2.apk2024-10-25 19:09 84K 
[   ]libmygpo-qt-dev-1.1.0-r2.apk2024-10-25 19:09 12K 
[   ]libmysofa-1.3.2-r0.apk2024-10-25 19:09 25K 
[   ]libmysofa-dev-1.3.2-r0.apk2024-10-25 19:09 7.0K 
[   ]libmysofa-tools-1.3.2-r0.apk2024-10-25 19:09 1.1M 
[   ]libneo4j-client-2.2.0-r3.apk2024-10-25 19:09 70K 
[   ]libneo4j-client-dev-2.2.0-r3.apk2024-10-25 19:09 117K 
[   ]libnest2d-0.4-r7.apk2025-02-06 04:44 1.2K 
[   ]libnest2d-dev-0.4-r7.apk2025-02-06 04:44 70K 
[   ]libnfc-1.8.0-r1.apk2024-10-25 19:09 58K 
[   ]libnfc-dev-1.8.0-r1.apk2024-10-25 19:09 7.9K 
[   ]libnfc-doc-1.8.0-r1.apk2024-10-25 19:09 22K 
[   ]libnfc-tools-1.8.0-r1.apk2024-10-25 19:09 91K 
[   ]libnfcdef-1.0.1-r0.apk2025-04-13 22:18 13K 
[   ]libnfcdef-dev-1.0.1-r0.apk2025-04-13 22:18 5.7K 
[   ]libnih-1.0.3-r7.apk2024-10-25 19:09 110K 
[   ]libnih-dev-1.0.3-r7.apk2024-10-25 19:09 121K 
[   ]libnih-doc-1.0.3-r7.apk2024-10-25 19:09 2.7K 
[   ]libntl-11.5.1-r4.apk2024-10-25 19:09 1.1M 
[   ]libntl-dev-11.5.1-r4.apk2024-10-25 19:09 159K 
[   ]libntl-doc-11.5.1-r4.apk2024-10-25 19:09 374K 
[   ]libntl-static-11.5.1-r4.apk2024-10-25 19:09 1.6M 
[   ]libnxml-0.18.3-r0.apk2024-10-25 19:09 20K 
[   ]libnxml-dev-0.18.3-r0.apk2024-10-25 19:09 29K 
[   ]libofx-0.10.9-r1.apk2024-10-25 19:09 63K 
[   ]libofx-dev-0.10.9-r1.apk2024-10-25 19:09 20K 
[   ]libofx-tools-0.10.9-r1.apk2024-10-25 19:09 105K 
[   ]liboggz-1.1.1-r2.apk2024-10-25 19:09 119K 
[   ]liboggz-dev-1.1.1-r2.apk2024-10-25 19:09 173K 
[   ]liboggz-doc-1.1.1-r2.apk2024-10-25 19:09 134K 
[   ]libopensles-standalone-0_git20240221-r0.apk2024-10-25 19:09 38K 
[   ]libopensles-standalone-dbg-0_git20240221-r0.apk2024-10-25 19:09 245K 
[   ]libopensles-standalone-dev-0_git20240221-r0.apk2024-10-25 19:09 1.5K 
[   ]libqofono-0.124-r0.apk2025-01-10 16:19 1.2K 
[   ]libqofono-dev-0.124-r0.apk2025-01-10 16:19 42K 
[   ]libqofono-qt5-0.124-r0.apk2025-01-10 16:19 286K 
[   ]libqofono-qt6-0.124-r0.apk2025-01-10 16:19 404K 
[   ]libqtdbusmock-0.9.1-r2.apk2025-02-17 15:07 68K 
[   ]libqtdbustest-0.3.3-r1.apk2025-02-17 15:07 34K 
[   ]libre-3.23.0-r0.apk2025-06-27 07:41 298K 
[   ]libre-dev-3.23.0-r0.apk2025-06-27 07:41 488K 
[   ]libreoffice-voikko-5.0_git20200127-r0.apk2024-10-25 19:09 45K 
[   ]librespot-0.6.0-r0.apk2024-11-02 01:23 3.1M 
[   ]librespot-openrc-0.6.0-r0.apk2024-11-02 01:23 1.8K 
[   ]libresprite-1.2-r0.apk2025-04-13 22:16 15M 
[   ]libresprite-doc-1.2-r0.apk2025-04-13 22:16 15K 
[   ]libretro-atari800-0_git20240924-r0.apk2024-10-25 19:09 268K 
[   ]libretro-beetle-pce-fast-0_git20220205-r0.apk2024-10-25 19:09 445K 
[   ]libretro-beetle-pcfx-0_git20220409-r0.apk2024-10-25 19:09 315K 
[   ]libretro-beetle-saturn-0_git20220417-r0.apk2024-10-25 19:09 1.4M 
[   ]libretro-beetle-supergrafx-0_git20220218-r0.apk2024-10-25 19:09 450K 
[   ]libretro-bluemsx-0_git20240808-r0.apk2024-10-25 19:09 634K 
[   ]libretro-cannonball-0_git20220309-r6.apk2024-10-25 19:09 238K 
[   ]libretro-cap32-0_git20220419-r0.apk2024-10-25 19:09 299K 
[   ]libretro-crocods-0_git20210314-r1.apk2024-10-25 19:09 278K 
[   ]libretro-daphne-0_git20210108-r2.apk2024-10-25 19:09 534K 
[   ]libretro-dinothawr-0_git20220401-r0.apk2024-10-25 19:09 126K 
[   ]libretro-dolphin-0_git20220407-r2.apk2024-10-25 19:09 3.1M 
[   ]libretro-fbneo-0_git20220416-r0.apk2024-10-25 19:09 11M 
[   ]libretro-flycast-0_git20220406-r3.apk2025-04-10 20:50 1.6M 
[   ]libretro-freeintv-0_git20220319-r0.apk2024-10-25 19:09 35K 
[   ]libretro-frodo-0_git20221221-r0.apk2024-10-25 19:09 162K 
[   ]libretro-fuse-0_git20220417-r0.apk2024-10-25 19:09 890K 
[   ]libretro-genesis-plus-gx-0_git20230503-r0.apk2024-10-25 19:09 1.0M 
[   ]libretro-gme-0_git20240628-r0.apk2024-10-25 19:09 186K 
[   ]libretro-gong-0_git20220319-r0.apk2024-10-25 19:09 8.1K 
[   ]libretro-gw-0_git20220410-r0.apk2024-10-25 19:09 187K 
[   ]libretro-mame2003-0_git20240904-r0.apk2024-10-25 19:09 6.5M 
[   ]libretro-mu-0_git20220317-r0.apk2024-10-25 19:09 168K 
[   ]libretro-neocd-0_git20220325-r1.apk2024-10-25 19:09 425K 
[   ]libretro-nxengine-0_git20220301-r0.apk2024-10-25 19:09 298K 
[   ]libretro-openlara-0_git20210121-r0.apk2024-10-25 19:09 502K 
[   ]libretro-opera-0_git20211214-r0.apk2024-10-25 19:09 172K 
[   ]libretro-parallel-n64-0_git20220406-r0.apk2024-10-25 19:09 872K 
[   ]libretro-pcsx-rearmed-0_git20220409-r0.apk2024-10-25 19:09 490K 
[   ]libretro-picodrive-0_git20220405-r0.apk2024-10-25 19:09 545K 
[   ]libretro-pocketcdg-0_git20220327-r0.apk2024-10-25 19:09 86K 
[   ]libretro-ppsspp-0_git20210516-r15.apk2025-04-10 20:50 2.3M 
[   ]libretro-scummvm-0_git20210325-r0.apk2024-10-25 19:09 20M 
[   ]libretro-snes9x-0_git20240819-r0.apk2024-10-25 19:09 639K 
[   ]libretro-theodore-3.1-r0.apk2024-10-25 19:09 873K 
[   ]libretro-tyrquake-0_git20220409-r0.apk2024-10-25 19:09 395K 
[   ]libretro-xrick-0_git20220331-r0.apk2024-10-25 19:09 119K 
[   ]libsbsms-2.3.0-r0.apk2024-10-25 19:09 103K 
[   ]libsbsms-dev-2.3.0-r0.apk2024-10-25 19:09 124K 
[   ]libsds-2.0.0-r1.apk2024-10-25 19:09 9.6K 
[   ]libsds-dev-2.0.0-r1.apk2024-10-25 19:09 3.8K 
[   ]libsemanage-3.6-r1.apk2024-10-25 19:09 93K 
[   ]libsemanage-dev-3.6-r1.apk2024-10-25 19:09 150K 
[   ]libsemanage-doc-3.6-r1.apk2024-10-25 19:09 23K 
[   ]libsemigroups-2.7.3-r1.apk2024-12-14 19:38 707K 
[   ]libsemigroups-dev-2.7.3-r1.apk2024-12-14 19:38 335K 
[   ]libsemigroups-static-2.7.3-r1.apk2024-12-14 19:38 1.5M 
[   ]libserialport-0.1.1-r1.apk2024-10-25 19:09 19K 
[   ]libserialport-dev-0.1.1-r1.apk2024-10-25 19:09 39K 
[   ]libshadowsocks-libev-3.3.5-r4.apk2024-10-25 19:09 49K 
[   ]libsigrok-0.5.2-r3.apk2024-10-25 19:09 481K 
[   ]libsigrok-dev-0.5.2-r3.apk2024-10-25 19:09 31K 
[   ]libsigrokdecode-0.5.3-r4.apk2024-10-25 19:09 336K 
[   ]libsigrokdecode-dev-0.5.3-r4.apk2024-10-25 19:09 39K 
[   ]libsimpleble-0.10.3-r0.apk2025-07-12 06:30 196K 
[   ]libsimpleble-c-0.10.3-r0.apk2025-07-12 06:30 16K 
[   ]libsimplebluez-0.10.3-r0.apk2025-07-12 06:30 141K 
[   ]libsirocco-2.1.0-r2.apk2024-10-25 19:09 60K 
[   ]libsirocco-dev-2.1.0-r2.apk2024-10-25 19:09 1.9K 
[   ]libspatialindex-2.1.0-r0.apk2025-05-31 10:29 302K 
[   ]libspatialindex-dev-2.1.0-r0.apk2025-05-31 10:29 21K 
[   ]libstirshaken-0_git20240208-r4.apk2025-05-03 20:03 52K 
[   ]libstirshaken-dev-0_git20240208-r4.apk2025-05-03 20:03 81K 
[   ]libstirshaken-tools-0_git20240208-r4.apk2025-05-03 20:03 167K 
[   ]libsymmetrica-3.0.1-r2.apk2024-10-25 19:09 3.7M 
[   ]libsymmetrica-dev-3.0.1-r2.apk2024-10-25 19:09 32K 
[   ]libsymmetrica-static-3.0.1-r2.apk2024-10-25 19:09 4.7M 
[   ]libtcmu-1.6.0-r6.apk2024-10-25 19:09 38K 
[   ]libtcmu-dev-1.6.0-r6.apk2024-10-25 19:09 1.5K 
[   ]libtins-4.5-r1.apk2024-10-25 19:09 303K 
[   ]libtins-dev-4.5-r1.apk2024-10-25 19:09 141K 
[   ]libtins-doc-4.5-r1.apk2024-10-25 19:09 2.3K 
[   ]libtommath-1.2.1-r1.apk2025-05-25 07:49 40K 
[   ]libtommath-dev-1.2.1-r1.apk2025-05-25 07:49 67K 
[   ]libtsm-4.1.0-r0.apk2025-07-08 06:30 27K 
[   ]libtsm-dev-4.1.0-r0.apk2025-07-08 06:30 11K 
[   ]libucl-0.9.0-r0.apk2024-10-25 19:09 54K 
[   ]libucl-dev-0.9.0-r0.apk2024-10-25 19:09 83K 
[   ]libucl-doc-0.9.0-r0.apk2024-10-25 19:09 8.9K 
[   ]libuecc-7-r4.apk2025-03-03 16:40 9.7K 
[   ]libuecc-dev-7-r4.apk2025-03-03 16:40 4.6K 
[   ]libuninameslist-20230916-r0.apk2024-10-25 19:09 563K 
[   ]libuninameslist-dev-20230916-r0.apk2024-10-25 19:09 3.5K 
[   ]libuninameslist-doc-20230916-r0.apk2024-10-25 19:09 2.0K 
[   ]libupstart-2.0.3-r5.apk2024-10-25 19:09 52K 
[   ]libvdpau-va-gl-0.4.2-r0.apk2024-10-25 19:09 56K 
[   ]libvisio2svg-0.5.5-r3.apk2024-10-25 19:09 16K 
[   ]libvisio2svg-dev-0.5.5-r3.apk2024-10-25 19:09 2.9K 
[   ]libvisio2svg-utils-0.5.5-r3.apk2024-10-25 19:09 117K 
[   ]libvmaf-3.0.0-r0.apk2024-10-25 19:09 334K 
[   ]libvmaf-dev-3.0.0-r0.apk2024-10-25 19:09 197K 
[   ]libvoikko-4.3.2-r1.apk2024-10-25 19:09 130K 
[   ]libvoikko-dev-4.3.2-r1.apk2024-10-25 19:09 9.9K 
[   ]libvoikko-doc-4.3.2-r1.apk2024-10-25 19:09 5.7K 
[   ]libwasmtime-34.0.1-r0.apk2025-07-23 04:04 2.9M 
[   ]libwasmtime-static-34.0.1-r0.apk2025-07-23 04:04 5.4M 
[   ]libwbxml-0.11.8-r0.apk2024-10-25 19:09 74K 
[   ]libwbxml-dev-0.11.8-r0.apk2024-10-25 19:09 9.0K 
[   ]libwbxml-doc-0.11.8-r0.apk2024-10-25 19:09 28K 
[   ]libwhich-1.2.0-r0.apk2024-10-25 19:09 4.5K 
[   ]libxml++-5.4.0-r0.apk2025-02-13 07:45 64K 
[   ]libxml++-dev-5.4.0-r0.apk2025-02-13 07:45 29K 
[   ]libxo-1.7.5-r0.apk2025-01-12 22:45 186K 
[   ]libxo-dev-1.7.5-r0.apk2025-01-12 22:45 77K 
[   ]libxo-doc-1.7.5-r0.apk2025-01-12 22:45 63K 
[   ]libzn_poly-0.9.2-r2.apk2024-10-25 19:09 50K 
[   ]libzn_poly-dev-0.9.2-r2.apk2024-10-25 19:09 8.2K 
[   ]libzn_poly-static-0.9.2-r2.apk2024-10-25 19:09 54K 
[   ]libzrtpcpp-4.7.0-r0.apk2025-01-04 21:55 158K 
[   ]libzrtpcpp-dev-4.7.0-r0.apk2025-01-04 21:55 38K 
[   ]libzvbi-0.2.44-r0.apk2025-03-11 22:54 219K 
[   ]libzvbi-dev-0.2.44-r0.apk2025-03-11 22:54 14K 
[   ]libzvbi-static-0.2.44-r0.apk2025-03-11 22:54 289K 
[   ]licenseheaders-0.8.8-r4.apk2024-10-25 19:09 18K 
[   ]licenseheaders-pyc-0.8.8-r4.apk2024-10-25 19:09 18K 
[   ]lidarr-2.12.4.4658-r0.apk2025-06-17 22:11 20M 
[   ]lidarr-openrc-2.12.4.4658-r0.apk2025-06-17 22:11 2.0K 
[   ]lima-1.0.7-r3.apk2025-07-12 06:30 10M 
[   ]lima-bash-completion-1.0.7-r3.apk2025-07-12 06:30 6.1K 
[   ]lima-doc-1.0.7-r3.apk2025-07-12 06:30 51K 
[   ]lima-fish-completion-1.0.7-r3.apk2025-07-12 06:30 4.3K 
[   ]lima-guestagent-1.0.7-r3.apk2025-07-12 06:30 13M 
[   ]lima-zsh-completion-1.0.7-r3.apk2025-07-12 06:30 4.0K 
[   ]limkd-0.1.2-r0.apk2024-10-25 19:09 54K 
[   ]limkd-doc-0.1.2-r0.apk2024-10-25 19:09 3.0K 
[   ]limnoria-20240828-r0.apk2024-10-25 19:09 1.1M 
[   ]limnoria-doc-20240828-r0.apk2024-10-25 19:09 8.4K 
[   ]limnoria-pyc-20240828-r0.apk2024-10-25 19:09 1.2M 
[   ]linkchecker-10.5.0-r0.apk2025-05-18 23:04 181K 
[   ]linkchecker-doc-10.5.0-r0.apk2025-05-18 23:04 39K 
[   ]linkchecker-pyc-10.5.0-r0.apk2025-05-18 23:04 254K 
[   ]linkquisition-1.6.1-r6.apk2025-07-12 06:30 12M 
[   ]linphone-5.3.38-r0.apk2024-10-25 19:09 9.0M 
[   ]linphone-dev-5.3.38-r0.apk2024-10-25 19:09 250K 
[   ]linphone-libs-5.3.38-r0.apk2024-10-25 19:09 2.8M 
[   ]linux-apfs-rw-src-0.3.8-r0.apk2024-10-25 19:09 197K 
[   ]linux-asahi-6.15.7_p1-r0.apk2025-07-23 04:04 20M 
[   ]linux-asahi-dev-6.15.7_p1-r0.apk2025-07-23 04:04 22M 
[   ]linux-elm-6.10.6-r0.apk2024-10-25 19:09 18M 
[   ]linux-gru-6.11.0-r0.apk2024-10-25 19:09 26M 
[   ]linux-openvfd-0_git20220906-r0.apk2024-10-25 19:09 9.0K 
[   ]linux-timemachine-1.3.2-r0.apk2024-10-25 19:09 5.1K 
[   ]linuxkit-1.6.0-r1.apk2025-07-12 06:30 13M 
[   ]linuxkit-doc-1.6.0-r1.apk2025-07-12 06:30 10K 
[   ]linuxptp-4.4-r0.apk2024-11-20 00:45 1.2K 
[   ]linuxptp-doc-4.4-r0.apk2024-11-20 00:45 38K 
[   ]linuxptp-hwstamp_ctl-4.4-r0.apk2024-11-20 00:45 4.7K 
[   ]linuxptp-nsm-4.4-r0.apk2024-11-20 00:45 32K 
[   ]linuxptp-phc2sys-4.4-r0.apk2024-11-20 00:45 36K 
[   ]linuxptp-phc_ctl-4.4-r0.apk2024-11-20 00:45 10K 
[   ]linuxptp-pmc-4.4-r0.apk2024-11-20 00:45 35K 
[   ]linuxptp-ptp4l-4.4-r0.apk2024-11-20 00:45 78K 
[   ]linuxptp-timemaster-4.4-r0.apk2024-11-20 00:45 16K 
[   ]linuxptp-ts2phc-4.4-r0.apk2024-11-20 00:45 35K 
[   ]linuxptp-tz2alt-4.4-r0.apk2024-11-20 00:45 20K 
[   ]linuxwave-0.3.0-r0.apk2025-05-26 18:36 172K 
[   ]linuxwave-doc-0.3.0-r0.apk2025-05-26 18:36 3.3K 
[   ]liquibase-4.9.1-r0.apk2024-10-25 19:09 32M 
[   ]liquibase-doc-4.9.1-r0.apk2024-10-25 19:09 57K 
[   ]liquid-dsp-1.5.0-r0.apk2024-10-25 19:09 346K 
[   ]liquid-dsp-dev-1.5.0-r0.apk2024-10-25 19:09 519K 
[   ]litehtml-0.9-r2.apk2025-02-17 15:07 305K 
[   ]litehtml-dev-0.9-r2.apk2025-02-17 15:07 42K 
[   ]litehtml-static-0.9-r2.apk2025-02-17 15:07 510K 
[   ]litterbox-1.9-r1.apk2024-10-25 19:09 36K 
[   ]litterbox-doc-1.9-r1.apk2024-10-25 19:09 7.2K 
[   ]lizardfs-3.13.0-r17.apk2025-06-19 08:44 108K 
[   ]lizardfs-bash-completion-3.13.0-r17.apk2025-06-19 08:44 1.9K 
[   ]lizardfs-cgi-3.13.0-r17.apk2025-06-19 08:44 31K 
[   ]lizardfs-cgiserv-3.13.0-r17.apk2025-06-19 08:44 7.4K 
[   ]lizardfs-cgiserv-openrc-3.13.0-r17.apk2025-06-19 08:44 2.0K 
[   ]lizardfs-chunkserver-3.13.0-r17.apk2025-06-19 08:44 310K 
[   ]lizardfs-chunkserver-openrc-3.13.0-r17.apk2025-06-19 08:44 1.7K 
[   ]lizardfs-client-3.13.0-r17.apk2025-06-19 08:44 1.1M 
[   ]lizardfs-doc-3.13.0-r17.apk2025-06-19 08:44 11K 
[   ]lizardfs-master-3.13.0-r17.apk2025-06-19 08:44 840K 
[   ]lizardfs-master-openrc-3.13.0-r17.apk2025-06-19 08:44 1.6K 
[   ]lizardfs-metalogger-3.13.0-r17.apk2025-06-19 08:44 126K 
[   ]lizardfs-metalogger-openrc-3.13.0-r17.apk2025-06-19 08:44 1.6K 
[   ]lkrg-0.9.6-r1.apk2025-01-09 11:44 105K 
[   ]lkrg-doc-0.9.6-r1.apk2025-01-09 11:44 22K 
[   ]lld-next-22.0.0_pre20250725-r0.apk2025-07-25 09:23 8.7K 
[   ]lld-next-dev-22.0.0_pre20250725-r0.apk2025-07-25 09:23 19K 
[   ]lld-next-libs-22.0.0_pre20250725-r0.apk2025-07-25 09:23 1.8M 
[   ]lld21-21.1.0_rc1-r1.apk2025-07-23 04:04 36K 
[   ]lld21-dbg-21.1.0_rc1-r1.apk2025-07-23 04:04 5.0M 
[   ]lld21-dev-21.1.0_rc1-r1.apk2025-07-23 04:04 18K 
[   ]lld21-doc-21.1.0_rc1-r1.apk2025-07-23 04:04 12K 
[   ]lld21-libs-21.1.0_rc1-r1.apk2025-07-23 04:04 2.4M 
[   ]lldap-0.6.1-r0.apk2025-03-26 11:51 9.4M 
[   ]lldb-next-22.0.0_pre20250725-r0.apk2025-07-25 09:23 6.5M 
[   ]lldb-next-dev-22.0.0_pre20250725-r0.apk2025-07-25 09:23 777K 
[   ]lldb-next-pyc-22.0.0_pre20250725-r0.apk2025-07-25 09:23 305K 
[   ]llmnrd-0.7-r1.apk2024-10-25 19:09 18K 
[   ]llmnrd-doc-0.7-r1.apk2024-10-25 19:09 3.0K 
[   ]llmnrd-openrc-0.7-r1.apk2024-10-25 19:09 1.9K 
[   ]llvm-next-22.0.0_pre20250725-r0.apk2025-07-25 09:23 22M 
[   ]llvm-next-dev-22.0.0_pre20250725-r0.apk2025-07-25 09:23 8.9M 
[   ]llvm-next-gtest-22.0.0_pre20250725-r0.apk2025-07-25 09:23 478K 
[   ]llvm-next-libc++-22.0.0_pre20250725-r0.apk2025-07-25 09:23 364K 
[   ]llvm-next-libc++-dev-22.0.0_pre20250725-r0.apk2025-07-25 09:23 1.8M 
[   ]llvm-next-libc++-static-22.0.0_pre20250725-r0.apk2025-07-25 09:23 723K 
[   ]llvm-next-libgcc-22.0.0_pre20250725-r0.apk2025-07-25 09:23 55K 
[   ]llvm-next-libgcc-dev-22.0.0_pre20250725-r0.apk2025-07-25 09:23 2.5K 
[   ]llvm-next-libs-22.0.0_pre20250725-r0.apk2025-07-25 09:23 31M 
[   ]llvm-next-libunwind-22.0.0_pre20250725-r0.apk2025-07-25 09:23 17K 
[   ]llvm-next-libunwind-dev-22.0.0_pre20250725-r0.apk2025-07-25 09:23 20K 
[   ]llvm-next-libunwind-static-22.0.0_pre20250725-r0.apk2025-07-25 09:23 27K 
[   ]llvm-next-linker-tools-22.0.0_pre20250725-r0.apk2025-07-25 09:23 1.3M 
[   ]llvm-next-lit-22.0.0_pre20250725-r0.apk2025-07-25 09:23 92K 
[   ]llvm-next-lit-pyc-22.0.0_pre20250725-r0.apk2025-07-25 09:23 155K 
[   ]llvm-next-offload-22.0.0_pre20250725-r0.apk2025-07-25 09:23 249K 
[   ]llvm-next-offload-dev-22.0.0_pre20250725-r0.apk2025-07-25 09:23 371K 
[   ]llvm-next-openmp-22.0.0_pre20250725-r0.apk2025-07-25 09:23 584K 
[   ]llvm-next-openmp-dev-22.0.0_pre20250725-r0.apk2025-07-25 09:23 405K 
[   ]llvm-next-polly-22.0.0_pre20250725-r0.apk2025-07-25 09:23 2.8M 
[   ]llvm-next-runtime-22.0.0_pre20250725-r0.apk2025-07-25 09:23 482K 
[   ]llvm-next-static-22.0.0_pre20250725-r0.apk2025-07-25 09:23 67M 
[   ]llvm-next-test-utils-22.0.0_pre20250725-r0.apk2025-07-25 09:23 609K 
[   ]llvm21-21.1.0_rc1-r1.apk2025-07-23 04:04 39M 
[   ]llvm21-dev-21.1.0_rc1-r1.apk2025-07-23 04:04 6.0M 
[   ]llvm21-gtest-21.1.0_rc1-r1.apk2025-07-23 04:04 582K 
[   ]llvm21-libs-21.1.0_rc1-r1.apk2025-07-23 04:04 56M 
[   ]llvm21-linker-tools-21.1.0_rc1-r1.apk2025-07-23 04:04 73K 
[   ]llvm21-static-21.1.0_rc1-r1.apk2025-07-23 04:04 94M 
[   ]llvm21-test-utils-21.1.0_rc1-r1.apk2025-07-23 04:04 428K 
[   ]llvm21-test-utils-pyc-21.1.0_rc1-r1.apk2025-07-23 04:04 155K 
[   ]lockrun-1.1.3-r1.apk2024-10-25 19:09 5.5K 
[   ]log4cpp-1.1.4-r1.apk2024-10-25 19:09 69K 
[   ]log4cpp-dev-1.1.4-r1.apk2024-10-25 19:09 39K 
[   ]log4cxx-1.1.0-r3.apk2025-02-17 15:07 507K 
[   ]log4cxx-dev-1.1.0-r3.apk2025-02-17 15:07 135K 
[   ]logc-0.5.0-r1.apk2025-06-13 15:19 8.5K 
[   ]logc-argp-0.5.0-r1.apk2025-06-13 15:19 16K 
[   ]logc-config-0.5.0-r1.apk2025-06-13 15:19 5.4K 
[   ]logc-czmq-0.1.0-r0.apk2024-10-25 19:09 4.3K 
[   ]logc-dev-0.5.0-r1.apk2025-06-13 15:19 8.6K 
[   ]logc-libevent-0.1.0-r0.apk2024-10-25 19:09 3.6K 
[   ]logc-libs-0.1.0-r0.apk2024-10-25 19:09 1.5K 
[   ]logc-libs-dev-0.1.0-r0.apk2024-10-25 19:09 5.7K 
[   ]logtop-0.7-r0.apk2024-10-25 19:09 13K 
[   ]logtop-doc-0.7-r0.apk2024-10-25 19:09 2.8K 
[   ]logtop-libs-0.7-r0.apk2024-10-25 19:09 14K 
[   ]logwatch-7.10-r1.apk2024-10-25 19:09 483K 
[   ]logwatch-doc-7.10-r1.apk2024-10-25 19:09 38K 
[   ]lol-html-1.1.1-r1.apk2024-10-25 19:09 425K 
[   ]lol-html-dev-1.1.1-r1.apk2024-10-25 19:09 6.5K 
[   ]lolcat-1.4-r0.apk2024-10-25 19:09 11K 
[   ]lomiri-0.5.0-r0.apk2025-05-09 17:27 3.9M 
[   ]lomiri-action-api-1.2.0-r0.apk2025-04-11 05:46 79K 
[   ]lomiri-action-api-dev-1.2.0-r0.apk2025-04-11 05:46 5.0K 
[   ]lomiri-api-0.2.2-r1.apk2025-02-17 15:07 33K 
[   ]lomiri-api-dev-0.2.2-r1.apk2025-02-17 15:07 32K 
[   ]lomiri-app-launch-0.1.12-r1.apk2025-06-28 18:04 348K 
[   ]lomiri-app-launch-dev-0.1.12-r1.apk2025-06-28 18:04 20K 
[   ]lomiri-calculator-app-4.0.2-r1.apk2025-03-26 11:51 374K 
[   ]lomiri-calculator-app-lang-4.0.2-r1.apk2025-03-26 11:51 34K 
[   ]lomiri-clock-app-4.1.1-r0.apk2025-05-09 17:27 223K 
[   ]lomiri-clock-app-lang-4.1.1-r0.apk2025-05-09 17:27 456K 
[   ]lomiri-content-hub-2.1.0-r0.apk2025-04-13 22:06 277K 
[   ]lomiri-content-hub-dev-2.1.0-r0.apk2025-04-13 22:06 11K 
[   ]lomiri-content-hub-doc-2.1.0-r0.apk2025-04-13 22:06 1.4M 
[   ]lomiri-content-hub-lang-2.1.0-r0.apk2025-04-13 22:06 47K 
[   ]lomiri-docviewer-app-3.0.4-r2.apk2025-03-26 11:51 225K 
[   ]lomiri-docviewer-app-doc-3.0.4-r2.apk2025-03-26 11:51 2.0K 
[   ]lomiri-docviewer-app-lang-3.0.4-r2.apk2025-03-26 11:51 116K 
[   ]lomiri-download-manager-0.2.1-r0.apk2025-04-13 23:33 568K 
[   ]lomiri-download-manager-dev-0.2.1-r0.apk2025-04-13 23:33 17K 
[   ]lomiri-download-manager-doc-0.2.1-r0.apk2025-04-13 23:33 3.4M 
[   ]lomiri-download-manager-lang-0.2.1-r0.apk2025-04-13 23:33 30K 
[   ]lomiri-filemanager-app-1.0.4-r1.apk2025-03-26 11:51 331K 
[   ]lomiri-filemanager-app-lang-1.0.4-r1.apk2025-03-26 11:51 171K 
[   ]lomiri-gallery-app-3.0.2-r1.apk2025-03-26 11:51 3.7M 
[   ]lomiri-gallery-app-lang-3.0.2-r1.apk2025-03-26 11:51 106K 
[   ]lomiri-history-service-0.6-r8.apk2025-07-03 15:27 349K 
[   ]lomiri-history-service-dev-0.6-r8.apk2025-07-03 15:27 11K 
[   ]lomiri-indicator-location-25.4.22-r0.apk2025-05-19 12:47 29K 
[   ]lomiri-indicator-location-lang-25.4.22-r0.apk2025-05-19 12:47 27K 
[   ]lomiri-indicator-network-1.1.1-r0.apk2025-05-09 17:27 587K 
[   ]lomiri-indicator-network-dev-1.1.1-r0.apk2025-05-09 17:27 9.5K 
[   ]lomiri-indicator-network-doc-1.1.1-r0.apk2025-05-09 17:27 2.1K 
[   ]lomiri-indicator-network-lang-1.1.1-r0.apk2025-05-09 17:27 196K 
[   ]lomiri-lang-0.5.0-r0.apk2025-05-09 17:27 269K 
[   ]lomiri-libusermetrics-1.3.3-r1.apk2025-02-17 15:07 171K 
[   ]lomiri-libusermetrics-dev-1.3.3-r1.apk2025-02-17 15:07 7.8K 
[   ]lomiri-libusermetrics-doc-1.3.3-r1.apk2025-02-17 15:07 228K 
[   ]lomiri-libusermetrics-lang-1.3.3-r1.apk2025-02-17 15:07 43K 
[   ]lomiri-location-service-3.3.0-r4.apk2025-06-26 14:01 2.1M 
[   ]lomiri-location-service-dev-3.3.0-r4.apk2025-06-26 14:01 30K 
[   ]lomiri-location-service-doc-3.3.0-r4.apk2025-06-26 14:01 2.9K 
[   ]lomiri-location-service-lang-3.3.0-r4.apk2025-06-26 14:01 26K 
[   ]lomiri-notifications-1.3.1-r0.apk2025-01-10 10:08 96K 
[   ]lomiri-schemas-0.1.8-r1.apk2025-06-28 18:04 10K 
[   ]lomiri-settings-components-1.1.2-r0.apk2025-01-10 10:08 222K 
[   ]lomiri-settings-components-lang-1.1.2-r0.apk2025-01-10 10:08 98K 
[   ]lomiri-sounds-22.02-r1.apk2025-03-26 11:51 18M 
[   ]lomiri-system-settings-1.3.2-r0.apk2025-05-09 17:27 1.1M 
[   ]lomiri-system-settings-lang-1.3.2-r0.apk2025-05-09 17:27 846K 
[   ]lomiri-telephony-service-0.6.1-r3.apk2025-07-03 15:27 1.0M 
[   ]lomiri-telephony-service-lang-0.6.1-r3.apk2025-07-03 15:27 108K 
[   ]lomiri-terminal-app-2.0.5-r0.apk2025-05-09 17:27 64K 
[   ]lomiri-terminal-app-doc-2.0.5-r0.apk2025-05-09 17:27 2.7K 
[   ]lomiri-terminal-app-lang-2.0.5-r0.apk2025-05-09 17:27 91K 
[   ]lomiri-thumbnailer-3.0.4-r2.apk2025-02-17 15:07 219K 
[   ]lomiri-thumbnailer-dev-3.0.4-r2.apk2025-02-17 15:07 5.0K 
[   ]lomiri-thumbnailer-doc-3.0.4-r2.apk2025-02-17 15:07 1.5K 
[   ]lomiri-trust-store-2.0.2-r10.apk2025-06-28 18:04 947K 
[   ]lomiri-trust-store-dev-2.0.2-r10.apk2025-06-28 18:04 9.1K 
[   ]lomiri-trust-store-lang-2.0.2-r10.apk2025-06-28 18:04 28K 
[   ]lomiri-ui-extras-0.7.0-r0.apk2025-04-11 05:46 257K 
[   ]lomiri-ui-extras-lang-0.7.0-r0.apk2025-04-11 05:46 52K 
[   ]lomiri-ui-toolkit-1.3.5110-r1.apk2025-06-28 18:04 1.3M 
[   ]lomiri-ui-toolkit-dev-1.3.5110-r1.apk2025-06-28 18:04 168K 
[   ]lomiri-ui-toolkit-lang-1.3.5110-r1.apk2025-06-28 18:04 97K 
[   ]lomiri-url-dispatcher-0.1.4-r0.apk2025-05-09 17:27 45K 
[   ]lomiri-url-dispatcher-dev-0.1.4-r0.apk2025-05-09 17:27 3.3K 
[   ]lomiri-url-dispatcher-lang-0.1.4-r0.apk2025-05-09 17:27 26K 
[   ]lomiri-weather-app-6.0.2-r0.apk2025-03-11 12:02 300K 
[   ]lomiri-weather-app-lang-6.0.2-r0.apk2025-03-11 12:02 214K 
[   ]lotide-0.15.0-r0.apk2024-10-25 19:09 3.3M 
[   ]lotide-openrc-0.15.0-r0.apk2024-10-25 19:09 3.1K 
[   ]lout-3.42.2-r0.apk2024-10-25 19:09 1.4M 
[   ]lout-doc-3.42.2-r0.apk2024-10-25 19:09 453K 
[   ]lowjs-1.6.2-r2.apk2024-10-25 19:09 1.3M 
[   ]lowjs-doc-1.6.2-r2.apk2024-10-25 19:09 3.0K 
[   ]lrcalc-2.1-r1.apk2024-10-25 19:09 13K 
[   ]lrcalc-dev-2.1-r1.apk2024-10-25 19:09 11K 
[   ]lrcalc-libs-2.1-r1.apk2024-10-25 19:09 26K 
[   ]lsdvd-0.17-r0.apk2024-10-25 19:09 14K 
[   ]lsdvd-doc-0.17-r0.apk2024-10-25 19:09 2.5K 
[   ]lshell-0.9.18-r11.apk2024-10-25 19:09 36K 
[   ]lshell-doc-0.9.18-r11.apk2024-10-25 19:09 25K 
[   ]lshell-pyc-0.9.18-r11.apk2024-10-25 19:09 35K 
[   ]lsix-1.8.2-r0.apk2024-10-25 19:09 6.5K 
[   ]lsmash-2.14.5-r2.apk2024-10-25 19:09 279K 
[   ]lsmash-dev-2.14.5-r2.apk2024-10-25 19:09 366K 
[   ]lua-editorconfig-0.3.0-r0.apk2024-10-25 19:09 1.2K 
[   ]lua-fn-0.1.0-r0.apk2024-10-25 19:09 3.4K 
[   ]lua-inet-0.2.0-r1.apk2024-10-25 19:09 9.1K 
[   ]lua-lanes-3.16.0-r1.apk2024-10-25 19:09 1.4K 
[   ]lua-lcurses-9.0.0-r0.apk2024-10-25 19:09 1.1K 
[   ]lua-libmodbus-0.6.1-r0.apk2024-10-25 19:09 1.2K 
[   ]lua-libmodbus-doc-0.6.1-r0.apk2024-10-25 19:09 19K 
[   ]lua-linenoise-0.9-r1.apk2024-10-25 19:09 1.2K 
[   ]lua-luastatic-0.0.12-r1.apk2024-10-25 19:09 1.5K 
[   ]lua-lupa-1.0-r0.apk2024-10-25 19:09 20K 
[   ]lua-lut-1.2.1-r0.apk2024-10-25 19:09 87K 
[   ]lua-psl-0.3-r0.apk2024-10-25 19:09 1.1K 
[   ]lua-resty-redis-0.29-r0.apk2024-10-25 19:09 5.3K 
[   ]lua-resty-upload-0.11-r0.apk2024-10-25 19:09 3.6K 
[   ]lua-xml-1.1.3-r2.apk2024-10-25 19:09 1.4K 
[   ]lua5.1-lanes-3.16.0-r1.apk2024-10-25 19:09 55K 
[   ]lua5.1-lcurses-9.0.0-r0.apk2024-10-25 19:09 23K 
[   ]lua5.1-libguestfs-1.56.1-r0.apk2025-07-23 04:04 83K 
[   ]lua5.1-libmodbus-0.6.1-r0.apk2024-10-25 19:09 9.9K 
[   ]lua5.1-linenoise-0.9-r1.apk2024-10-25 19:09 18K 
[   ]lua5.1-luacov-0.15.0-r0.apk2024-10-25 19:09 23K 
[   ]lua5.1-luacov-html-1.0.0-r1.apk2024-10-25 19:09 413K 
[   ]lua5.1-luastatic-0.0.12-r1.apk2024-10-25 19:09 79K 
[   ]lua5.1-psl-0.3-r0.apk2024-10-25 19:09 6.1K 
[   ]lua5.1-xml-1.1.3-r2.apk2024-10-25 19:09 23K 
[   ]lua5.2-editorconfig-0.3.0-r0.apk2024-10-25 19:09 4.3K 
[   ]lua5.2-lanes-3.16.0-r1.apk2024-10-25 19:09 55K 
[   ]lua5.2-libmodbus-0.6.1-r0.apk2024-10-25 19:09 9.8K 
[   ]lua5.2-linenoise-0.9-r1.apk2024-10-25 19:09 18K 
[   ]lua5.2-luacov-0.15.0-r0.apk2024-10-25 19:09 23K 
[   ]lua5.2-luacov-html-1.0.0-r1.apk2024-10-25 19:09 413K 
[   ]lua5.2-luastatic-0.0.12-r1.apk2024-10-25 19:09 9.0K 
[   ]lua5.2-psl-0.3-r0.apk2024-10-25 19:09 6.0K 
[   ]lua5.2-xml-1.1.3-r2.apk2024-10-25 19:09 23K 
[   ]lua5.3-editorconfig-0.3.0-r0.apk2024-10-25 19:09 4.4K 
[   ]lua5.3-lanes-3.16.0-r1.apk2024-10-25 19:09 55K 
[   ]lua5.3-linenoise-0.9-r1.apk2024-10-25 19:09 18K 
[   ]lua5.3-luacov-0.15.0-r0.apk2024-10-25 19:09 23K 
[   ]lua5.3-luacov-html-1.0.0-r1.apk2024-10-25 19:09 413K 
[   ]lua5.3-luastatic-0.0.12-r1.apk2024-10-25 19:09 9.0K 
[   ]lua5.3-psl-0.3-r0.apk2024-10-25 19:09 6.0K 
[   ]lua5.4-editorconfig-0.3.0-r0.apk2024-10-25 19:09 4.4K 
[   ]lua5.4-lanes-3.16.0-r1.apk2024-10-25 19:09 55K 
[   ]lua5.4-linenoise-0.9-r1.apk2024-10-25 19:09 18K 
[   ]lua5.4-luacov-0.15.0-r0.apk2024-10-25 19:09 23K 
[   ]lua5.4-luastatic-0.0.12-r1.apk2024-10-25 19:09 9.1K 
[   ]luacov-0.15.0-r0.apk2024-10-25 19:09 1.4K 
[   ]luacov-html-1.0.0-r1.apk2024-10-25 19:09 1.2K 
[   ]luapak-0.1.0_beta5-r0.apk2024-10-25 19:09 35K 
[   ]luksmeta-9-r0.apk2024-10-25 19:09 13K 
[   ]luksmeta-dev-9-r0.apk2024-10-25 19:09 3.1K 
[   ]luksmeta-doc-9-r0.apk2024-10-25 19:09 5.5K 
[   ]lumina-desktop-1.6.2-r0.apk2024-10-25 19:09 1.2K 
[   ]lumina-desktop-archiver-1.6.2-r0.apk2024-10-25 19:09 159K 
[   ]lumina-desktop-core-1.6.2-r0.apk2024-10-25 19:09 8.9M 
[   ]lumina-desktop-coreutils-1.6.2-r0.apk2024-10-25 19:09 794K 
[   ]lumina-desktop-doc-1.6.2-r0.apk2024-10-25 19:09 12K 
[   ]lumina-desktop-fileinfo-1.6.2-r0.apk2024-10-25 19:09 153K 
[   ]lumina-desktop-fm-1.6.2-r0.apk2024-10-25 19:09 380K 
[   ]lumina-desktop-mediaplayer-1.6.2-r0.apk2024-10-25 19:09 192K 
[   ]lumina-desktop-photo-1.6.2-r0.apk2024-10-25 19:09 120K 
[   ]lumina-desktop-screenshot-1.6.2-r0.apk2024-10-25 19:09 160K 
[   ]lumina-desktop-sudo-1.6.2-r0.apk2024-10-25 19:09 92K 
[   ]lumina-desktop-textedit-1.6.2-r0.apk2024-10-25 19:09 185K 
[   ]lumins-0.4.0-r2.apk2024-10-25 19:09 640K 
[   ]lutgen-1.0.0-r0.apk2025-07-23 04:04 1.7M 
[   ]lutgen-bash-completion-1.0.0-r0.apk2025-07-23 04:04 1.7K 
[   ]lutgen-doc-1.0.0-r0.apk2025-07-23 04:04 4.5K 
[   ]lutgen-fish-completion-1.0.0-r0.apk2025-07-23 04:04 1.8K 
[   ]lutgen-zsh-completion-1.0.0-r0.apk2025-07-23 04:04 1.7K 
[   ]lutris-0.5.19-r0.apk2025-05-26 11:09 819K 
[   ]lutris-doc-0.5.19-r0.apk2025-05-26 11:09 2.3K 
[   ]lutris-lang-0.5.19-r0.apk2025-05-26 11:09 810K 
[   ]lutris-pyc-0.5.19-r0.apk2025-05-26 11:09 1.1M 
[   ]lxappearance-0.6.3-r3.apk2024-10-25 19:09 30K 
[   ]lxappearance-dev-0.6.3-r3.apk2024-10-25 19:09 3.2K 
[   ]lxappearance-doc-0.6.3-r3.apk2024-10-25 19:09 2.6K 
[   ]lxappearance-lang-0.6.3-r3.apk2024-10-25 19:09 80K 
[   ]lxd-5.0.3-r12.apk2025-07-12 06:30 13M 
[   ]lxd-bash-completion-5.0.3-r12.apk2025-07-12 06:30 5.1K 
[   ]lxd-client-5.0.3-r12.apk2025-07-12 06:30 5.8M 
[   ]lxd-feature-5.20-r12.apk2025-07-12 06:30 63M 
[   ]lxd-feature-bash-completion-5.20-r12.apk2025-07-12 06:30 5.1K 
[   ]lxd-feature-doc-5.20-r12.apk2025-07-12 06:30 1.7K 
[   ]lxd-feature-openrc-5.20-r12.apk2025-07-12 06:30 2.4K 
[   ]lxd-feature-scripts-5.20-r12.apk2025-07-12 06:30 2.1K 
[   ]lxd-openrc-5.0.3-r12.apk2025-07-12 06:30 2.5K 
[   ]lxd-scripts-5.0.3-r12.apk2025-07-12 06:30 24M 
[   ]lxd-vm-5.0.3-r12.apk2025-07-12 06:30 1.3K 
[   ]lxqt-wayland-session-0.2.0-r0.apk2025-05-24 22:16 336K 
[   ]lxqt-wayland-session-doc-0.2.0-r0.apk2025-05-24 22:16 29K 
[   ]lychee-0.19.1-r0.apk2025-06-17 11:01 2.8M 
[   ]lychee-doc-0.19.1-r0.apk2025-06-17 11:01 13K 
[   ]lynis-3.1.1-r0.apk2024-10-25 19:09 271K 
[   ]lynis-bash-completion-3.1.1-r0.apk2024-10-25 19:09 3.0K 
[   ]lynis-doc-3.1.1-r0.apk2024-10-25 19:09 49K 
[   ]lyrics-in-terminal-1.7.0-r0.apk2025-01-03 10:09 38K 
[   ]lzfse-1.0-r0.apk2024-10-25 19:09 21K 
[   ]lzfse-dev-1.0-r0.apk2024-10-25 19:09 3.4K 
[   ]m2r2-0.3.3-r3.apk2024-10-25 19:09 13K 
[   ]m2r2-pyc-0.3.3-r3.apk2024-10-25 19:09 16K 
[   ]ma1sd-2.5.0-r3.apk2024-10-25 19:09 38M 
[   ]ma1sd-openrc-2.5.0-r3.apk2024-10-25 19:09 2.0K 
[   ]macchina-6.4.0-r0.apk2025-06-25 03:45 935K 
[   ]macchina-doc-6.4.0-r0.apk2025-06-25 03:45 5.7K 
[   ]mage-1.13.0-r23.apk2025-05-13 21:59 1.5M 
[   ]mailctl-0.9.2-r0.apk2024-10-25 19:09 7.4M 
[   ]mailctl-bash-completion-0.9.2-r0.apk2024-10-25 19:09 1.8K 
[   ]mailctl-doc-0.9.2-r0.apk2024-10-25 19:09 5.0K 
[   ]mailctl-fish-completion-0.9.2-r0.apk2024-10-25 19:09 1.9K 
[   ]mailctl-zsh-completion-0.9.2-r0.apk2024-10-25 19:09 2.0K 
[   ]maildir2rss-0.0.7-r6.apk2025-07-12 06:30 3.4M 
[   ]mailsec-check-0_git20210729-r27.apk2025-07-12 06:30 2.5M 
[   ]makeclapman-2.4.4-r6.apk2025-07-12 06:30 1.2M 
[   ]makeclapman-doc-2.4.4-r6.apk2025-07-12 06:30 4.1K 
[   ]makedumpfile-1.7.7-r0.apk2025-05-03 20:03 152K 
[   ]makedumpfile-doc-1.7.7-r0.apk2025-05-03 20:03 24K 
[   ]makedumpfile-openrc-1.7.7-r0.apk2025-05-03 20:03 2.9K 
[   ]makeself-2.5.0-r0.apk2024-10-25 19:09 13K 
[   ]malcontent-0.12.0-r0.apk2025-05-08 12:22 151K 
[   ]malcontent-dev-0.12.0-r0.apk2025-05-08 12:22 24K 
[   ]malcontent-doc-0.12.0-r0.apk2025-05-08 12:22 45K 
[   ]mame-0.251-r0.apk2024-10-25 19:09 91M 
[   ]mame-arcade-0.251-r0.apk2024-10-25 19:10 64M 
[   ]mame-common-0.251-r0.apk2024-10-25 19:10 2.7K 
[   ]mame-data-0.251-r0.apk2024-10-25 19:10 19M 
[   ]mame-doc-0.251-r0.apk2024-10-25 19:10 24K 
[   ]mame-lang-0.251-r0.apk2024-10-25 19:10 1.4M 
[   ]mame-mess-0.251-r0.apk2024-10-25 19:10 49M 
[   ]mame-plugins-0.251-r0.apk2024-10-25 19:10 166K 
[   ]mame-tools-0.251-r0.apk2024-10-25 19:10 2.5M 
[   ]mangal-4.0.6-r19.apk2025-07-12 06:30 9.9M 
[   ]mangal-bash-completion-4.0.6-r19.apk2025-07-12 06:30 5.0K 
[   ]mangal-fish-completion-4.0.6-r19.apk2025-07-12 06:30 3.9K 
[   ]mangal-zsh-completion-4.0.6-r19.apk2025-07-12 06:30 4.0K 
[   ]mangr0ve-0.1.2-r0.apk2024-10-25 19:10 2.8K 
[   ]mangr0ve-doc-0.1.2-r0.apk2024-10-25 19:10 14K 
[   ]manifest-tool-2.2.0-r2.apk2025-07-12 06:30 3.7M 
[   ]mapnik-4.0.6-r1.apk2025-06-10 10:42 11M 
[   ]mapnik-dev-4.0.6-r1.apk2025-06-10 10:42 486K 
[   ]mapnik-doc-4.0.6-r1.apk2025-06-10 10:42 142K 
[   ]mapserver-8.4.0-r2.apk2025-06-10 10:42 1.3M 
[   ]mapserver-dev-8.4.0-r2.apk2025-06-10 10:42 540K 
[   ]marxan-4.0.7-r1.apk2024-10-25 19:10 537K 
[   ]masky-0.2.0-r2.apk2025-05-29 12:00 277K 
[   ]masky-pyc-0.2.0-r2.apk2025-05-29 12:00 64K 
[   ]mat2-0.13.4-r3.apk2024-10-25 19:10 35K 
[   ]mat2-doc-0.13.4-r3.apk2024-10-25 19:10 7.7K 
[   ]mat2-pyc-0.13.4-r3.apk2024-10-25 19:10 54K 
[   ]materia-20210322-r3.apk2025-07-12 06:30 1.7K 
[   ]materia-chromium-20210322-r3.apk2025-07-12 06:30 5.7K 
[   ]materia-compact-20210322-r3.apk2025-07-12 06:30 1.7K 
[   ]materia-compact-chromium-20210322-r3.apk2025-07-12 06:30 5.7K 
[   ]materia-compact-gnome-shell-20210322-r3.apk2025-07-12 06:30 29K 
[   ]materia-compact-gtk2-20210322-r3.apk2025-07-12 06:30 35K 
[   ]materia-compact-gtk3-20210322-r3.apk2025-07-12 06:30 63K 
[   ]materia-compact-gtk4-20210322-r3.apk2025-07-12 06:30 43K 
[   ]materia-dark-20210322-r3.apk2025-07-12 06:30 1.7K 
[   ]materia-dark-chromium-20210322-r3.apk2025-07-12 06:30 5.7K 
[   ]materia-dark-compact-20210322-r3.apk2025-07-12 06:30 1.7K 
[   ]materia-dark-compact-chromium-20210322-r3.apk2025-07-12 06:30 5.7K 
[   ]materia-dark-compact-gnome-shell-20210322-r3.apk2025-07-12 06:30 29K 
[   ]materia-dark-compact-gtk2-20210322-r3.apk2025-07-12 06:30 35K 
[   ]materia-dark-compact-gtk3-20210322-r3.apk2025-07-12 06:30 40K 
[   ]materia-dark-compact-gtk4-20210322-r3.apk2025-07-12 06:30 29K 
[   ]materia-dark-compact-kde-kvantum-20220823-r0.apk2024-10-25 19:10 1.5K 
[   ]materia-dark-gnome-shell-20210322-r3.apk2025-07-12 06:30 29K 
[   ]materia-dark-gtk2-20210322-r3.apk2025-07-12 06:30 34K 
[   ]materia-dark-gtk3-20210322-r3.apk2025-07-12 06:30 40K 
[   ]materia-dark-gtk4-20210322-r3.apk2025-07-12 06:30 29K 
[   ]materia-dark-kde-konsole-20220823-r0.apk2024-10-25 19:10 1.8K 
[   ]materia-dark-kde-kvantum-20220823-r0.apk2024-10-25 19:10 30K 
[   ]materia-dark-kde-plasma-20220823-r0.apk2024-10-25 19:10 503K 
[   ]materia-dark-kde-yakuake-20220823-r0.apk2024-10-25 19:10 22K 
[   ]materia-gnome-shell-20210322-r3.apk2025-07-12 06:30 29K 
[   ]materia-gtk-theme-20210322-r3.apk2025-07-12 06:30 2.9K 
[   ]materia-gtk2-20210322-r3.apk2025-07-12 06:30 35K 
[   ]materia-gtk3-20210322-r3.apk2025-07-12 06:30 63K 
[   ]materia-gtk4-20210322-r3.apk2025-07-12 06:30 43K 
[   ]materia-kde-20220823-r0.apk2024-10-25 19:10 19K 
[   ]materia-kde-konsole-20220823-r0.apk2024-10-25 19:10 1.8K 
[   ]materia-kde-kvantum-20220823-r0.apk2024-10-25 19:10 30K 
[   ]materia-kde-plasma-20220823-r0.apk2024-10-25 19:10 1.7M 
[   ]materia-light-compact-kde-kvantum-20220823-r0.apk2024-10-25 19:10 1.5K 
[   ]materia-light-kde-kvantum-20220823-r0.apk2024-10-25 19:10 30K 
[   ]materia-light-kde-plasma-20220823-r0.apk2024-10-25 19:10 20K 
[   ]materia-light-kde-yakuake-20220823-r0.apk2024-10-25 19:10 21K 
[   ]mattermost-desktop-5.11.0-r2.apk2025-05-10 20:47 4.2M 
[   ]maxima-5.47.0-r9.apk2025-07-07 21:58 21M 
[   ]maxima-bash-completion-5.47.0-r9.apk2025-07-07 21:58 2.3K 
[   ]maxima-doc-5.47.0-r9.apk2025-07-07 21:58 760K 
[   ]maxima-doc-extra-5.47.0-r9.apk2025-07-07 21:58 9.4M 
[   ]maxima-emacs-5.47.0-r9.apk2025-07-07 21:58 111K 
[   ]mbrola-3.3-r0.apk2024-10-25 19:10 22K 
[   ]mcjoin-2.11-r0.apk2024-10-25 19:10 24K 
[   ]mcjoin-doc-2.11-r0.apk2024-10-25 19:10 54K 
[   ]mcqd-1.0.0-r1.apk2024-10-25 19:10 13K 
[   ]mcqd-dev-1.0.0-r1.apk2024-10-25 19:10 4.0K 
[   ]md5ha1-0_git20171202-r1.apk2024-10-25 19:10 9.0K 
[   ]mdbook-admonish-1.20.0-r0.apk2025-06-17 11:01 971K 
[   ]mdbook-alerts-0.7.0-r0.apk2025-01-11 12:12 689K 
[   ]mdbook-katex-0.9.4-r0.apk2025-05-17 16:37 1.1M 
[   ]mdbook-linkcheck-0.7.7-r0.apk2025-05-16 19:17 2.6M 
[   ]mdbook-mermaid-0.15.0-r0.apk2025-05-17 16:37 1.6M 
[   ]mdbook-plantuml-0.8.0-r0.apk2024-10-25 19:10 885K 
[   ]mdcat-2.7.1-r0.apk2024-12-14 18:04 2.8M 
[   ]mdcat-bash-completion-2.7.1-r0.apk2024-12-14 18:04 2.2K 
[   ]mdcat-doc-2.7.1-r0.apk2024-12-14 18:04 6.0K 
[   ]mdcat-fish-completion-2.7.1-r0.apk2024-12-14 18:04 2.1K 
[   ]mdcat-zsh-completion-2.7.1-r0.apk2024-12-14 18:04 2.4K 
[   ]mdnsd-0.12-r1.apk2024-10-25 19:10 24K 
[   ]mdnsd-doc-0.12-r1.apk2024-10-25 19:10 14K 
[   ]mdnsd-libs-0.12-r1.apk2024-10-25 19:10 18K 
[   ]mdnsd-openrc-0.12-r1.apk2024-10-25 19:10 2.1K 
[   ]mdnsd-static-0.12-r1.apk2024-10-25 19:10 19K 
[   ]mdp-1.0.18-r0.apk2025-07-12 06:30 16K 
[   ]mdp-doc-1.0.18-r0.apk2025-07-12 06:30 3.9K 
[   ]mediascanner2-0.115-r3.apk2025-03-26 11:51 259K 
[   ]mediastreamer2-5.3.100-r1.apk2025-05-31 22:31 366K 
[   ]mediastreamer2-dev-5.3.100-r1.apk2025-05-31 22:31 110K 
[   ]mediastreamer2-doc-5.3.100-r1.apk2025-05-31 22:31 108K 
[   ]mediastreamer2-plugin-openh264-5.2.0_git20231020-r1.apk2025-03-11 03:55 12K 
[   ]mediastreamer2-plugin-x264-20200722-r6.apk2024-10-25 19:10 8.7K 
[   ]meep-1.30.0-r1.apk2025-07-04 22:11 627K 
[   ]meep-dev-1.30.0-r1.apk2025-07-04 22:11 511K 
[   ]megatools-1.11.4.20250411-r0.apk2025-05-03 20:03 63K 
[   ]megatools-bash-completion-1.11.4.20250411-r0.apk2025-05-03 20:03 4.1K 
[   ]megatools-doc-1.11.4.20250411-r0.apk2025-05-03 20:03 52K 
[   ]megazeux-2.93d-r0.apk2025-06-10 13:45 1.4M 
[   ]megazeux-doc-2.93d-r0.apk2025-06-10 13:45 465K 
[   ]melange-0.30.0-r0.apk2025-07-25 16:54 12M 
[   ]melange-bash-completion-0.30.0-r0.apk2025-07-25 16:54 6.8K 
[   ]melange-fish-completion-0.30.0-r0.apk2025-07-25 16:54 4.3K 
[   ]melange-zsh-completion-0.30.0-r0.apk2025-07-25 16:54 4.0K 
[   ]meli-0.8.11-r0.apk2025-05-05 01:09 4.5M 
[   ]meli-doc-0.8.11-r0.apk2025-05-05 01:09 48K 
[   ]memdump-1.01-r1.apk2024-10-25 19:10 5.8K 
[   ]memdump-doc-1.01-r1.apk2024-10-25 19:10 3.1K 
[   ]menumaker-0.99.14-r1.apk2024-10-25 19:10 111K 
[   ]merge-usr-0_git20250725-r1.apk2025-07-25 12:03 9.7K 
[   ]mergerfs-2.40.2-r1.apk2024-10-25 19:10 282K 
[   ]mergerfs-doc-2.40.2-r1.apk2024-10-25 19:10 42K 
[   ]merlin-4.14-r0.apk2024-10-25 19:10 16M 
[   ]merlin-dev-4.14-r0.apk2024-10-25 19:10 23M 
[   ]merlin-emacs-4.14-r0.apk2024-10-25 19:10 29K 
[   ]merlin-vim-4.14-r0.apk2024-10-25 19:10 28K 
[   ]meson-tools-0.1-r2.apk2024-12-09 16:38 11K 
[   ]meson-tools-doc-0.1-r2.apk2024-12-09 16:38 8.3K 
[   ]mesonlsp-4.3.7-r4.apk2025-06-19 05:35 2.2M 
[   ]metadata-cleaner-2.5.6-r0.apk2025-02-01 16:09 49K 
[   ]metadata-cleaner-doc-2.5.6-r0.apk2025-02-01 16:09 1.9M 
[   ]metadata-cleaner-lang-2.5.6-r0.apk2025-02-01 16:09 66K 
[   ]metalang99-1.13.3-r0.apk2024-10-25 19:10 54K 
[   ]metricbeat-8.14.2-r6.apk2025-07-12 06:30 36M 
[   ]metricbeat-openrc-8.14.2-r6.apk2025-07-12 06:30 2.0K 
[   ]milkytracker-1.04.00-r2.apk2024-10-25 19:10 1.0M 
[   ]milkytracker-doc-1.04.00-r2.apk2024-10-25 19:10 50K 
[   ]mimalloc1-1.9.3-r1.apk2025-05-18 16:00 75K 
[   ]mimalloc1-debug-1.9.3-r1.apk2025-05-18 16:00 194K 
[   ]mimalloc1-dev-1.9.3-r1.apk2025-05-18 16:00 502K 
[   ]mimalloc1-insecure-1.9.3-r1.apk2025-05-18 16:00 70K 
[   ]mimedefang-3.6-r0.apk2025-03-02 12:15 157K 
[   ]mimedefang-doc-3.6-r0.apk2025-03-02 12:15 80K 
[   ]mimeo-2023-r2.apk2024-10-25 19:10 28K 
[   ]mimeo-pyc-2023-r2.apk2024-10-25 19:10 42K 
[   ]minidyndns-1.3.0-r3.apk2024-10-25 19:10 12K 
[   ]minidyndns-doc-1.3.0-r3.apk2024-10-25 19:10 5.1K 
[   ]minidyndns-openrc-1.3.0-r3.apk2024-10-25 19:10 1.8K 
[   ]minigalaxy-1.4.0-r0.apk2025-07-12 06:30 197K 
[   ]minigalaxy-pyc-1.4.0-r0.apk2025-07-12 06:30 135K 
[   ]minimodem-0.24-r1.apk2024-10-25 19:10 20K 
[   ]minimodem-doc-0.24-r1.apk2024-10-25 19:10 5.2K 
[   ]minisatip-1.3.4-r0.apk2024-10-25 19:10 312K 
[   ]minisatip-openrc-1.3.4-r0.apk2024-10-25 19:10 1.9K 
[   ]mint-themes-2.1.1-r0.apk2024-10-25 19:10 2.2K 
[   ]mint-themes-doc-2.1.1-r0.apk2024-10-25 19:10 13K 
[   ]mint-x-icons-1.7.2-r0.apk2024-12-24 10:07 22M 
[   ]mint-x-icons-doc-1.7.2-r0.apk2024-12-24 10:07 7.4K 
[   ]mint-x-theme-2.1.1-r0.apk2024-10-25 19:10 2.3K 
[   ]mint-x-theme-gtk2-2.1.1-r0.apk2024-10-25 19:10 490K 
[   ]mint-x-theme-gtk3-2.1.1-r0.apk2024-10-25 19:10 603K 
[   ]mint-x-theme-gtk4-2.1.1-r0.apk2024-10-25 19:10 510K 
[   ]mint-x-theme-metacity-2.1.1-r0.apk2024-10-25 19:10 6.1K 
[   ]mint-x-theme-xfwm4-2.1.1-r0.apk2024-10-25 19:10 35K 
[   ]mint-y-icons-1.8.3-r0.apk2025-02-05 22:14 72M 
[   ]mint-y-icons-doc-1.8.3-r0.apk2025-02-05 22:14 11K 
[   ]mint-y-theme-2.1.1-r0.apk2024-10-25 19:10 4.1K 
[   ]mint-y-theme-gtk2-2.1.1-r0.apk2024-10-25 19:10 656K 
[   ]mint-y-theme-gtk3-2.1.1-r0.apk2024-10-25 19:10 1.8M 
[   ]mint-y-theme-gtk4-2.1.1-r0.apk2024-10-25 19:10 1.6M 
[   ]mint-y-theme-metacity-2.1.1-r0.apk2024-10-25 19:10 58K 
[   ]mint-y-theme-xfwm4-2.1.1-r0.apk2024-10-25 19:10 207K 
[   ]mir-2.21.1-r0.apk2025-07-12 11:28 2.1M 
[   ]mir-demos-2.21.1-r0.apk2025-07-12 11:28 150K 
[   ]mir-dev-2.21.1-r0.apk2025-07-12 11:28 7.9M 
[   ]mir-test-tools-2.21.1-r0.apk2025-07-12 11:28 277K 
[   ]mirrorhall-0.1.1-r1.apk2025-01-14 15:34 26K 
[   ]mitra-4.6.0-r0.apk2025-07-16 08:47 6.2M 
[   ]mitra-doc-4.6.0-r0.apk2025-07-16 08:47 26K 
[   ]mitra-openrc-4.6.0-r0.apk2025-07-16 08:47 1.9K 
[   ]mjpg-streamer-0_git20210220-r2.apk2025-05-14 15:03 194K 
[   ]mkbrr-1.13.0-r1.apk2025-07-12 06:30 3.9M 
[   ]mkcert-1.4.4-r20.apk2025-07-12 06:30 1.6M 
[   ]mkdocs-bootstrap-1.1.1-r2.apk2024-10-25 19:10 29K 
[   ]mkdocs-bootstrap-pyc-1.1.1-r2.apk2024-10-25 19:10 1.8K 
[   ]mkdocs-bootstrap4-0.1.5-r5.apk2024-10-25 19:10 260K 
[   ]mkdocs-bootstrap4-pyc-0.1.5-r5.apk2024-10-25 19:10 1.8K 
[   ]mkdocs-bootstrap386-0.0.2-r5.apk2024-10-25 19:10 791K 
[   ]mkdocs-bootstrap386-pyc-0.0.2-r5.apk2024-10-25 19:10 1.8K 
[   ]mkdocs-bootswatch-1.1-r5.apk2024-10-25 19:10 538K 
[   ]mkdocs-bootswatch-pyc-1.1-r5.apk2024-10-25 19:10 4.7K 
[   ]mkdocs-cinder-1.2.0-r5.apk2024-10-25 19:10 249K 
[   ]mkdocs-cinder-pyc-1.2.0-r5.apk2024-10-25 19:10 1.8K 
[   ]mkdocs-cluster-0.0.9-r5.apk2024-10-25 19:10 651K 
[   ]mkdocs-cluster-pyc-0.0.9-r5.apk2024-10-25 19:10 1.8K 
[   ]mkdocs-gitbook-0.0.1-r5.apk2024-10-25 19:10 644K 
[   ]mkdocs-gitbook-pyc-0.0.1-r5.apk2024-10-25 19:10 1.8K 
[   ]mkdocs-ivory-0.4.6-r5.apk2024-10-25 19:10 11K 
[   ]mkdocs-ivory-pyc-0.4.6-r5.apk2024-10-25 19:10 1.8K 
[   ]mkdocs-rtd-dropdown-1.0.2-r5.apk2024-10-25 19:10 248K 
[   ]mkdocs-rtd-dropdown-pyc-1.0.2-r5.apk2024-10-25 19:10 1.8K 
[   ]mkdocs-windmill-1.0.5-r4.apk2024-10-25 19:10 944K 
[   ]mkdocs-windmill-pyc-1.0.5-r4.apk2024-10-25 19:10 1.8K 
[   ]mkg3a-0.5.0-r1.apk2024-10-25 19:10 17K 
[   ]mkg3a-doc-0.5.0-r1.apk2024-10-25 19:10 3.1K 
[   ]mkosi-25.3-r1.apk2025-07-15 17:31 251K 
[   ]mkosi-doc-25.3-r1.apk2025-07-15 17:31 69K 
[   ]mkosi-pyc-25.3-r1.apk2025-07-15 17:31 393K 
[   ]mkrundir-0.4.0-r1.apk2024-11-28 16:16 96K 
[   ]mktorrent-borg-0.9.9-r1.apk2024-10-25 19:10 9.4K 
[   ]mktorrent-borg-doc-0.9.9-r1.apk2024-10-25 19:10 2.5K 
[   ]mlir-next-22.0.0_pre20250725-r0.apk2025-07-25 09:23 18M 
[   ]mlir-next-dev-22.0.0_pre20250725-r0.apk2025-07-25 09:23 6.6M 
[   ]mlir-next-libs-22.0.0_pre20250725-r0.apk2025-07-25 09:23 17M 
[   ]mlir-next-static-22.0.0_pre20250725-r0.apk2025-07-25 09:23 51M 
[   ]mlxl-0.1-r0.apk2024-10-25 19:10 6.2K 
[   ]mm-1.4.2-r1.apk2024-10-25 19:10 7.0K 
[   ]mm-common-1.0.7-r0.apk2025-06-27 16:39 414K 
[   ]mm-common-doc-1.0.7-r0.apk2025-06-27 16:39 33K 
[   ]mm-dev-1.4.2-r1.apk2024-10-25 19:10 11K 
[   ]mm-doc-1.4.2-r1.apk2024-10-25 19:10 14K 
[   ]mmar-0.2.5-r1.apk2025-05-13 22:00 2.5M 
[   ]mmix-0_git20221025-r0.apk2024-10-25 19:10 147K 
[   ]mml-1.0.0-r0.apk2024-10-25 19:10 841K 
[   ]mml-bash-completion-1.0.0-r0.apk2024-10-25 19:10 2.3K 
[   ]mml-doc-1.0.0-r0.apk2024-10-25 19:10 3.9K 
[   ]mml-fish-completion-1.0.0-r0.apk2024-10-25 19:10 2.3K 
[   ]mml-zsh-completion-1.0.0-r0.apk2024-10-25 19:10 2.8K 
[   ]mnamer-2.5.5-r1.apk2024-10-25 19:10 32K 
[   ]mnamer-pyc-2.5.5-r1.apk2024-10-25 19:10 60K 
[   ]mnemosyne-2.10.1-r1.apk2024-10-25 19:10 607K 
[   ]mnemosyne-lang-2.10.1-r1.apk2024-10-25 19:10 439K 
[   ]mnemosyne-pyc-2.10.1-r1.apk2024-10-25 19:10 628K 
[   ]mobpass-0.2-r6.apk2024-10-25 19:10 18K 
[   ]mobpass-pyc-0.2-r6.apk2024-10-25 19:10 5.2K 
[   ]mobroute-0.10.0-r1.apk2025-07-12 06:30 4.3M 
[   ]mobroute-doc-0.10.0-r1.apk2025-07-12 06:30 1.3M 
[   ]mod_dnssd-0.6-r0.apk2024-10-25 19:10 8.9K 
[   ]modem-manager-gui-0.0.20-r0.apk2024-10-25 19:10 332K 
[   ]modem-manager-gui-doc-0.0.20-r0.apk2024-10-25 19:10 3.9M 
[   ]modem-manager-gui-lang-0.0.20-r0.apk2024-10-25 19:10 129K 
[   ]moe-1.14-r0.apk2024-10-25 19:10 107K 
[   ]moe-doc-1.14-r0.apk2024-10-25 19:10 19K 
[   ]moka-icon-theme-5.4.0-r2.apk2024-10-25 19:10 114M 
[   ]monetdb-11.33.11-r4.apk2024-10-25 19:10 2.3M 
[   ]monetdb-dev-11.33.11-r4.apk2024-10-25 19:10 77K 
[   ]monetdb-doc-11.33.11-r4.apk2024-10-25 19:10 321K 
[   ]mongo-cxx-driver-3.8.0-r0.apk2024-10-25 19:10 176K 
[   ]mongo-cxx-driver-dev-3.8.0-r0.apk2024-10-25 19:10 89K 
[   ]monopd-0.10.4-r0.apk2025-01-11 11:11 90K 
[   ]monopd-openrc-0.10.4-r0.apk2025-01-11 11:11 1.7K 
[   ]moon-buggy-1.0.51-r1.apk2024-10-25 19:10 36K 
[   ]moon-buggy-doc-1.0.51-r1.apk2024-10-25 19:10 7.1K 
[   ]moosefs-4.56.6-r2.apk2025-06-19 08:44 255K 
[   ]moosefs-cgi-4.56.6-r2.apk2025-06-19 08:44 121K 
[   ]moosefs-cgiserv-4.56.6-r2.apk2025-06-19 08:44 7.8K 
[   ]moosefs-cgiserv-openrc-4.56.6-r2.apk2025-06-19 08:44 2.0K 
[   ]moosefs-chunkserver-4.56.6-r2.apk2025-06-19 08:44 181K 
[   ]moosefs-chunkserver-openrc-4.56.6-r2.apk2025-06-19 08:44 1.7K 
[   ]moosefs-client-4.56.6-r2.apk2025-06-19 08:44 713K 
[   ]moosefs-doc-4.56.6-r2.apk2025-06-19 08:44 95K 
[   ]moosefs-master-4.56.6-r2.apk2025-06-19 08:44 363K 
[   ]moosefs-master-openrc-4.56.6-r2.apk2025-06-19 08:44 1.7K 
[   ]moosefs-metalogger-4.56.6-r2.apk2025-06-19 08:44 40K 
[   ]moosefs-metalogger-openrc-4.56.6-r2.apk2025-06-19 08:44 1.7K 
[   ]moosefs-static-4.56.6-r2.apk2025-06-19 08:44 710K 
[   ]morph-browser-1.1.2-r0.apk2025-01-26 06:27 548K 
[   ]morph-browser-lang-1.1.2-r0.apk2025-01-26 06:27 327K 
[   ]motion-4.7.0-r0.apk2024-10-25 19:10 137K 
[   ]motion-doc-4.7.0-r0.apk2024-10-25 19:10 140K 
[   ]motion-lang-4.7.0-r0.apk2024-10-25 19:10 471K 
[   ]motion-openrc-4.7.0-r0.apk2024-10-25 19:10 2.3K 
[   ]mp3val-0.1.8-r1.apk2024-10-25 19:10 13K 
[   ]mpdcron-0.3-r1.apk2024-10-25 19:10 94K 
[   ]mpdcron-dev-0.3-r1.apk2024-10-25 19:10 61K 
[   ]mpdcron-doc-0.3-r1.apk2024-10-25 19:10 13K 
[   ]mpdcron-zsh-completion-0.3-r1.apk2024-10-25 19:10 2.9K 
[   ]mpdris2-0.9.1-r3.apk2024-10-25 19:10 15K 
[   ]mpdris2-doc-0.9.1-r3.apk2024-10-25 19:10 15K 
[   ]mpdris2-lang-0.9.1-r3.apk2024-10-25 19:10 2.3K 
[   ]mpv-sponsorblock-2.2.0-r0.apk2025-06-16 15:16 1.4M 
[   ]mqtt2prometheus-0.1.7-r17.apk2025-07-12 06:30 4.2M 
[   ]mrsh-0_git20210518-r1.apk2024-10-25 19:10 5.5K 
[   ]mrsh-dbg-0_git20210518-r1.apk2024-10-25 19:10 205K 
[   ]mrsh-dev-0_git20210518-r1.apk2024-10-25 19:10 10K 
[   ]mrsh-libs-0_git20210518-r1.apk2024-10-25 19:10 59K 
[   ]msgpuck-2.0-r1.apk2024-10-25 19:10 1.2K 
[   ]msgpuck-dev-2.0-r1.apk2024-10-25 19:10 24K 
[   ]msgpuck-doc-2.0-r1.apk2024-10-25 19:10 7.3K 
[   ]msh-2.5.0-r13.apk2025-07-12 06:30 2.7M 
[   ]msh-openrc-2.5.0-r13.apk2025-07-12 06:30 2.0K 
[   ]mspdebug-0.25-r1.apk2024-10-25 19:10 206K 
[   ]mspdebug-doc-0.25-r1.apk2024-10-25 19:10 14K 
[   ]mstflint-4.26.0.1-r0.apk2024-10-25 19:10 4.1M 
[   ]mstflint-doc-4.26.0.1-r0.apk2024-10-25 19:10 18K 
[   ]mtg-2.1.7-r22.apk2025-07-12 06:30 4.3M 
[   ]mtg-openrc-2.1.7-r22.apk2025-07-12 06:30 1.9K 
[   ]murex-7.0.2107-r1.apk2025-07-12 06:30 5.9M 
[   ]murex-doc-7.0.2107-r1.apk2025-07-12 06:30 304K 
[   ]muse-4.2.1-r2.apk2025-05-13 22:00 6.0M 
[   ]muse-doc-4.2.1-r2.apk2025-05-13 22:00 4.1M 
[   ]musikcube-3.0.4-r1.apk2025-01-26 19:30 2.1M 
[   ]musikcube-dev-3.0.4-r1.apk2025-01-26 19:30 19K 
[   ]musikcube-plugin-all-3.0.4-r1.apk2025-01-26 19:30 1.3K 
[   ]musikcube-plugin-httpdatastream-3.0.4-r1.apk2025-01-26 19:30 73K 
[   ]musikcube-plugin-mpris-3.0.4-r1.apk2025-01-26 19:30 20K 
[   ]musikcube-plugin-openmpt-3.0.4-r1.apk2025-01-26 19:30 29K 
[   ]musikcube-plugin-server-3.0.4-r1.apk2025-01-26 19:30 352K 
[   ]musikcube-plugin-stockencoders-3.0.4-r1.apk2025-01-26 19:30 19K 
[   ]musikcube-plugin-supereqdsp-3.0.4-r1.apk2025-01-26 19:30 25K 
[   ]musikcube-plugin-taglibreader-3.0.4-r1.apk2025-01-26 19:30 35K 
[   ]mxclient-0_git20211002-r1.apk2024-10-25 19:10 73K 
[   ]n30f-2.0-r3.apk2024-10-25 19:10 6.9K 
[   ]naabu-2.3.5-r0.apk2025-07-15 09:11 10M 
[   ]naabu-doc-2.3.5-r0.apk2025-07-15 09:11 2.3K 
[   ]nano-hare-0_git20231021-r0.apk2024-10-25 19:10 2.2K 
[   ]nauty-2.9.0-r0.apk2025-07-23 04:04 5.5M 
[   ]nauty-dev-2.9.0-r0.apk2025-07-23 04:04 3.6M 
[   ]nauty-libs-2.9.0-r0.apk2025-07-23 04:04 2.6M 
[   ]nb-7.19.1-r0.apk2025-05-24 22:10 152K 
[   ]nb-bash-completion-7.19.1-r0.apk2025-05-24 22:10 3.0K 
[   ]nb-doc-7.19.1-r0.apk2025-05-24 22:10 77K 
[   ]nb-fish-completion-7.19.1-r0.apk2025-05-24 22:10 2.8K 
[   ]nb-full-7.19.1-r0.apk2025-05-24 22:10 1.3K 
[   ]nb-zsh-completion-7.19.1-r0.apk2025-05-24 22:10 3.0K 
[   ]nbsdgames-5-r0.apk2024-10-25 19:10 101K 
[   ]nbsdgames-doc-5-r0.apk2024-10-25 19:10 9.4K 
[   ]ndpi-4.10-r0.apk2024-10-25 19:10 1.3M 
[   ]ndpi-dev-4.10-r0.apk2024-10-25 19:10 867K 
[   ]neard-0.19-r0.apk2024-10-25 19:10 139K 
[   ]neard-dev-0.19-r0.apk2024-10-25 19:10 11K 
[   ]neard-doc-0.19-r0.apk2024-10-25 19:10 5.6K 
[   ]neard-openrc-0.19-r0.apk2024-10-25 19:10 1.7K 
[   ]neko-2.3.0-r0.apk2024-11-21 00:55 453K 
[   ]neko-dev-2.3.0-r0.apk2024-11-21 00:55 10K 
[   ]neko-doc-2.3.0-r0.apk2024-11-21 00:55 20K 
[   ]nemo-gtkhash-plugin-1.5-r0.apk2024-10-25 19:10 23K 
[   ]neo4j-client-2.2.0-r3.apk2024-10-25 19:10 29K 
[   ]neo4j-client-doc-2.2.0-r3.apk2024-10-25 19:10 5.4K 
[   ]neocmakelsp-0.8.22-r0.apk2025-05-05 08:13 1.6M 
[   ]neocmakelsp-bash-completion-0.8.22-r0.apk2025-05-05 08:13 2.0K 
[   ]neocmakelsp-doc-0.8.22-r0.apk2025-05-05 08:13 5.6K 
[   ]neocmakelsp-fish-completion-0.8.22-r0.apk2025-05-05 08:13 1.6K 
[   ]neocmakelsp-zsh-completion-0.8.22-r0.apk2025-05-05 08:13 1.8K 
[   ]neofetch-7.1.0-r2.apk2024-11-07 05:26 86K 
[   ]neofetch-doc-7.1.0-r2.apk2024-11-07 05:26 6.2K 
[   ]nerdlog-1.10.0-r1.apk2025-07-12 06:30 2.6M 
[   ]nerdlog-doc-1.10.0-r1.apk2025-07-12 06:30 13K 
[   ]net-predictable-1.5.1-r1.apk2025-07-12 06:30 896K 
[   ]net-predictable-doc-1.5.1-r1.apk2025-07-12 06:30 2.2K 
[   ]netdiscover-0.20-r0.apk2025-05-17 21:48 455K 
[   ]netdiscover-doc-0.20-r0.apk2025-05-17 21:48 4.2K 
[   ]netscanner-0.5.1-r1.apk2024-10-25 19:10 3.5M 
[   ]netscanner-doc-0.5.1-r1.apk2024-10-25 19:10 3.3K 
[   ]netsed-1.3-r3.apk2024-10-25 19:10 10K 
[   ]netstandard21-targeting-pack-6.0.136-r1.apk2024-11-25 04:52 1.9M 
[   ]networkmanager-dmenu-2.6.1-r0.apk2025-07-23 08:13 14K 
[   ]networkmanager-dmenu-doc-2.6.1-r0.apk2025-07-23 08:13 6.8K 
[   ]networkmanager-openconnect-1.2.10-r1.apk2025-04-07 22:05 70K 
[   ]networkmanager-openconnect-lang-1.2.10-r1.apk2025-04-07 22:05 1.0M 
[   ]newlib-esp-0_git20240109-r0.apk2024-10-25 19:10 1.5K 
[   ]newlib-esp-xtensa-esp32-elf-0_git20240109-r0.apk2024-10-25 19:10 4.3M 
[   ]newlib-esp-xtensa-esp32s2-elf-0_git20240109-r0.apk2024-10-25 19:10 4.3M 
[   ]newlib-esp-xtensa-esp32s3-elf-0_git20240109-r0.apk2024-10-25 19:10 4.3M 
[   ]newlib-esp-xtensa-esp8266-elf-0_git20240109-r0.apk2024-10-25 19:10 4.5M 
[   ]newlib-esp-xtensa-none-elf-0_git20240109-r0.apk2024-10-25 19:10 1.1M 
[   ]newsyslog-1.2.0.91-r1.apk2024-10-25 19:10 18K 
[   ]newsyslog-doc-1.2.0.91-r1.apk2024-10-25 19:10 24K 
[   ]nextpnr-0.7-r0.apk2024-10-25 19:10 1.4K 
[   ]nextpnr-ecp5-0.7-r0.apk2024-10-25 19:10 25M 
[   ]nextpnr-generic-0.7-r0.apk2024-10-25 19:10 745K 
[   ]nextpnr-gowin-0.7-r0.apk2024-10-25 19:10 1.5M 
[   ]nextpnr-ice40-0.7-r0.apk2024-10-25 19:10 69M 
[   ]nfcd-1.2.2-r0.apk2025-05-08 12:22 294K 
[   ]nfcd-dev-1.2.2-r0.apk2025-05-08 12:22 24K 
[   ]nfoview-2.1-r0.apk2025-04-13 20:28 39K 
[   ]nfoview-doc-2.1-r0.apk2025-04-13 20:28 8.0K 
[   ]nginx-ultimate-bad-bot-blocker-4.2023.10.4046-r1.apk2024-10-25 19:10 713K 
[   ]nginx-ultimate-bad-bot-blocker-doc-4.2023.10.4046-r1.apk2024-10-25 19:10 21K 
[   ]ngs-0.2.14-r0.apk2024-10-25 19:10 290K 
[   ]ngs-aws-0.2.14-r0.apk2024-10-25 19:10 33K 
[   ]ngs-vim-0.2.14-r0.apk2024-10-25 19:10 4.9K 
[   ]nicotine-plus-3.3.10-r0.apk2025-05-03 20:03 1.6M 
[   ]nicotine-plus-doc-3.3.10-r0.apk2025-05-03 20:03 2.6K 
[   ]nicotine-plus-lang-3.3.10-r0.apk2025-05-03 20:03 757K 
[   ]nicotine-plus-pyc-3.3.10-r0.apk2025-05-03 20:03 789K 
[   ]nitro-2.7_beta8-r2.apk2024-10-25 19:10 543K 
[   ]nitro-dev-2.7_beta8-r2.apk2024-10-25 19:10 190K 
[   ]nitrocli-0.4.1-r3.apk2024-10-25 19:10 410K 
[   ]nitrocli-bash-completion-0.4.1-r3.apk2024-10-25 19:10 3.2K 
[   ]nitrocli-doc-0.4.1-r3.apk2024-10-25 19:10 8.8K 
[   ]nkk-0_git20221010-r0.apk2024-10-25 19:10 15K 
[   ]nkk-dev-0_git20221010-r0.apk2024-10-25 19:10 2.9K 
[   ]nkk-doc-0_git20221010-r0.apk2024-10-25 19:10 7.0K 
[   ]nlopt-2.10.0-r1.apk2025-06-04 17:50 184K 
[   ]nlopt-dev-2.10.0-r1.apk2025-06-04 17:50 12K 
[   ]nlopt-doc-2.10.0-r1.apk2025-06-04 17:50 23K 
[   ]nlopt-guile-2.10.0-r1.apk2025-06-04 17:50 41K 
[   ]nlopt-octave-2.10.0-r1.apk2025-06-04 17:50 27K 
[   ]nm-tray-0.5.0-r0.apk2024-10-25 19:10 101K 
[   ]nm-tray-lang-0.5.0-r0.apk2024-10-25 19:10 27K 
[   ]nmap-parse-output-1.5.1-r1.apk2025-05-29 12:00 20K 
[   ]nmap-parse-output-bash-completion-1.5.1-r1.apk2025-05-29 12:00 2.0K 
[   ]nmap-parse-output-doc-1.5.1-r1.apk2025-05-29 12:00 808K 
[   ]noblenote-1.2.1-r1.apk2024-10-25 19:10 396K 
[   ]node-libpg-query-13.1.2-r5.apk2024-10-25 19:10 18K 
[   ]noggin-0.1-r19.apk2025-07-12 06:30 1.3M 
[   ]noggin-doc-0.1-r19.apk2025-07-12 06:30 2.9K 
[   ]noggin-model-0.1-r0.apk2024-10-25 19:10 12M 
[   ]noggin-model-lightweight-0.1-r0.apk2024-10-25 19:10 1.7M 
[   ]noice-0.8-r1.apk2024-10-25 19:10 9.8K 
[   ]noice-doc-0.8-r1.apk2024-10-25 19:10 3.4K 
[   ]nom-2.8.0-r4.apk2025-07-12 06:30 6.6M 
[   ]nom-doc-2.8.0-r4.apk2025-07-12 06:30 4.0K 
[   ]nomadnet-0.7.0-r0.apk2025-05-27 21:41 143K 
[   ]nomadnet-pyc-0.7.0-r0.apk2025-05-27 21:41 284K 
[   ]normaliz-3.10.4-r2.apk2025-06-13 04:56 40K 
[   ]normaliz-dev-3.10.4-r2.apk2025-06-13 04:56 73K 
[   ]normaliz-libs-3.10.4-r2.apk2025-06-13 04:56 2.5M 
[   ]notification-daemon-3.20.0-r1.apk2025-07-02 01:23 60K 
[   ]nsh-0.4.2-r1.apk2024-10-25 19:10 578K 
[   ]nsh-dbg-0.4.2-r1.apk2024-10-25 19:10 3.3M 
[   ]nsnake-3.0.0-r0.apk2024-10-25 19:10 8.8K 
[   ]nsnake-doc-3.0.0-r0.apk2024-10-25 19:10 2.6K 
[   ]nsq-1.3.0-r10.apk2025-05-13 22:00 24M 
[   ]ntpd-rs-1.6.1-r0.apk2025-07-23 04:04 3.7M 
[   ]ntpd-rs-doc-1.6.1-r0.apk2025-07-23 04:04 24K 
[   ]ntpd-rs-openrc-1.6.1-r0.apk2025-07-23 04:04 1.9K 
[   ]nuclei-3.4.7-r1.apk2025-07-12 06:30 28M 
[   ]nuclei-doc-3.4.7-r1.apk2025-07-12 06:30 2.3K 
[   ]nuklear-4.12.0-r0.apk2024-10-25 19:10 220K 
[   ]nuklear-doc-4.12.0-r0.apk2024-10-25 19:10 42K 
[   ]nullmailer-2.2-r4.apk2024-10-25 19:10 121K 
[   ]nullmailer-doc-2.2-r4.apk2024-10-25 19:10 10K 
[   ]nullmailer-openrc-2.2-r4.apk2024-10-25 19:10 1.6K 
[   ]numbat-1.9.0-r0.apk2024-10-25 19:10 1.0M 
[   ]numbat-doc-1.9.0-r0.apk2024-10-25 19:10 25K 
[   ]nuzzle-1.6-r0.apk2025-01-26 06:27 11K 
[   ]nuzzle-doc-1.6-r0.apk2025-01-26 06:27 3.2K 
[   ]nvidia-src-575.64.05-r0.apk2025-07-23 04:04 18M 
[   ]nvim-cmp-0.0.0_git20221011-r1.apk2024-10-25 19:10 55K 
[   ]nvim-cmp-buffer-0.0.0_git20220810-r1.apk2024-10-25 19:10 7.8K 
[   ]nvim-cmp-buffer-doc-0.0.0_git20220810-r1.apk2024-10-25 19:10 4.2K 
[   ]nvim-cmp-cmdline-0.0.0_git20220902-r1.apk2024-10-25 19:10 3.3K 
[   ]nvim-cmp-cmdline-doc-0.0.0_git20220902-r1.apk2024-10-25 19:10 1.8K 
[   ]nvim-cmp-doc-0.0.0_git20221011-r1.apk2024-10-25 19:10 10K 
[   ]nvim-cmp-lsp-0.0.0_git20220516-r1.apk2024-10-25 19:10 3.5K 
[   ]nvim-cmp-lsp-doc-0.0.0_git20220516-r1.apk2024-10-25 19:10 2.6K 
[   ]nvim-cmp-luasnip-0.0.0_git20220501-r1.apk2024-10-25 19:10 3.5K 
[   ]nvim-cmp-luasnip-doc-0.0.0_git20220501-r1.apk2024-10-25 19:10 2.0K 
[   ]nvim-cmp-path-0.0.0_git20221002-r1.apk2024-10-25 19:10 3.8K 
[   ]nvim-cmp-path-doc-0.0.0_git20221002-r1.apk2024-10-25 19:10 2.0K 
[   ]nvim-gruvbox-0.0.0_git20221212-r1.apk2024-10-25 19:10 10K 
[   ]nvim-gruvbox-doc-0.0.0_git20221212-r1.apk2024-10-25 19:10 2.8K 
[   ]nvim-lualine-0.0.0_git20241101-r0.apk2024-11-13 23:12 60K 
[   ]nvim-lualine-doc-0.0.0_git20241101-r0.apk2024-11-13 23:12 31K 
[   ]nvim-packer-0.0.0_git20220910-r1.apk2024-10-25 19:10 46K 
[   ]nvim-packer-doc-0.0.0_git20220910-r1.apk2024-10-25 19:10 21K 
[   ]nvim-plenary-0.1.4_git20240917-r0.apk2024-11-11 04:07 102K 
[   ]nvim-plenary-doc-0.1.4_git20240917-r0.apk2024-11-11 04:07 10K 
[   ]nvim-web-devicons-0.100_git20241011-r0.apk2024-11-11 03:57 27K 
[   ]nvim-web-devicons-doc-0.100_git20241011-r0.apk2024-11-11 03:57 7.1K 
[   ]nvimpager-0.12.0-r0.apk2024-10-25 19:10 13K 
[   ]nvimpager-doc-0.12.0-r0.apk2024-10-25 19:10 4.4K 
[   ]nvimpager-zsh-completion-0.12.0-r0.apk2024-10-25 19:10 1.8K 
[   ]nvtop-3.2.0-r0.apk2025-05-03 20:03 65K 
[   ]nvtop-doc-3.2.0-r0.apk2025-05-03 20:03 3.5K 
[   ]nwg-bar-0.1.6-r11.apk2025-07-12 06:30 1.5M 
[   ]nwg-displays-0.3.25-r0.apk2025-07-23 04:04 25K 
[   ]nwg-displays-pyc-0.3.25-r0.apk2025-07-23 04:04 35K 
[   ]nwg-dock-0.4.3-r1.apk2025-07-12 06:30 1.6M 
[   ]nwg-panel-0.10.10-r0.apk2025-07-14 07:46 283K 
[   ]nwg-panel-doc-0.10.10-r0.apk2025-07-14 07:46 4.4K 
[   ]nwg-panel-pyc-0.10.10-r0.apk2025-07-14 07:46 270K 
[   ]nwipe-0.38-r0.apk2025-06-19 17:34 268K 
[   ]nwipe-doc-0.38-r0.apk2025-06-19 17:34 3.8K 
[   ]nymphcast-mediaserver-0.1-r3.apk2024-10-25 19:10 121K 
[   ]nymphcast-mediaserver-nftables-0.1-r3.apk2024-10-25 19:10 1.7K 
[   ]nzbget-25.2-r0.apk2025-07-04 22:18 4.8M 
[   ]nzbget-openrc-25.2-r0.apk2025-07-04 22:18 2.1K 
[   ]oauth2-proxy-7.8.1-r3.apk2025-07-12 06:30 8.3M 
[   ]oauth2-proxy-openrc-7.8.1-r3.apk2025-07-12 06:30 2.1K 
[   ]objconv-2.52_git20210213-r2.apk2024-10-25 19:10 242K 
[   ]oblibs-0.3.4.0-r0.apk2025-06-01 15:51 33K 
[   ]oblibs-dbg-0.3.4.0-r0.apk2025-06-01 15:51 97K 
[   ]oblibs-dev-0.3.4.0-r0.apk2025-06-01 15:51 258K 
[   ]obnc-0.17.2-r0.apk2025-05-25 23:37 146K 
[   ]obnc-doc-0.17.2-r0.apk2025-05-25 23:37 33K 
[   ]ocaml-alcotest-1.5.0-r4.apk2024-10-25 19:10 486K 
[   ]ocaml-alcotest-dev-1.5.0-r4.apk2024-10-25 19:10 831K 
[   ]ocaml-amqp-client-2.3.0-r0.apk2024-10-25 19:10 627K 
[   ]ocaml-amqp-client-dev-2.3.0-r0.apk2024-10-25 19:10 1.0M 
[   ]ocaml-angstrom-0.16.0-r0.apk2024-10-25 19:10 186K 
[   ]ocaml-angstrom-dev-0.16.0-r0.apk2024-10-25 19:10 344K 
[   ]ocaml-arp-3.0.0-r3.apk2024-10-25 19:10 93K 
[   ]ocaml-arp-dev-3.0.0-r3.apk2024-10-25 19:10 162K 
[   ]ocaml-asn1-combinators-0.2.6-r2.apk2024-10-25 19:10 331K 
[   ]ocaml-asn1-combinators-dev-0.2.6-r2.apk2024-10-25 19:10 579K 
[   ]ocaml-astring-0.8.5-r2.apk2024-10-25 19:10 300K 
[   ]ocaml-astring-dev-0.8.5-r2.apk2024-10-25 19:10 185K 
[   ]ocaml-atd-2.15.0-r0.apk2024-10-25 19:10 8.5M 
[   ]ocaml-atd-dev-2.15.0-r0.apk2024-10-25 19:10 1.9M 
[   ]ocaml-base-0.16.3-r0.apk2024-10-25 19:10 4.7M 
[   ]ocaml-base-dev-0.16.3-r0.apk2024-10-25 19:10 9.7M 
[   ]ocaml-base64-3.5.0-r2.apk2024-10-25 19:10 96K 
[   ]ocaml-base64-dev-3.5.0-r2.apk2024-10-25 19:10 178K 
[   ]ocaml-bigarray-compat-1.1.0-r2.apk2024-10-25 19:10 13K 
[   ]ocaml-bigarray-compat-dev-1.1.0-r2.apk2024-10-25 19:10 12K 
[   ]ocaml-bigstringaf-0.9.0-r2.apk2024-10-25 19:10 51K 
[   ]ocaml-bigstringaf-dev-0.9.0-r2.apk2024-10-25 19:10 92K 
[   ]ocaml-biniou-1.2.1-r5.apk2024-10-25 19:10 688K 
[   ]ocaml-biniou-dev-1.2.1-r5.apk2024-10-25 19:10 369K 
[   ]ocaml-bisect_ppx-2.8.3-r0.apk2024-10-25 19:10 6.1M 
[   ]ocaml-bisect_ppx-dev-2.8.3-r0.apk2024-10-25 19:10 553K 
[   ]ocaml-bitstring-4.1.0-r3.apk2024-10-25 19:10 5.4M 
[   ]ocaml-bitstring-dev-4.1.0-r3.apk2024-10-25 19:10 578K 
[   ]ocaml-bos-0.2.1-r2.apk2024-10-25 19:10 492K 
[   ]ocaml-bos-dev-0.2.1-r2.apk2024-10-25 19:10 359K 
[   ]ocaml-ca-certs-0.2.2-r2.apk2024-10-25 19:10 33K 
[   ]ocaml-ca-certs-dev-0.2.2-r2.apk2024-10-25 19:10 41K 
[   ]ocaml-ca-certs-nss-3.89.1-r1.apk2024-10-25 19:10 395K 
[   ]ocaml-ca-certs-nss-dev-3.89.1-r1.apk2024-10-25 19:10 448K 
[   ]ocaml-ca-certs-nss-tools-3.89.1-r1.apk2024-10-25 19:10 1.9M 
[   ]ocaml-cairo2-0.6.2-r2.apk2024-10-25 19:10 174K 
[   ]ocaml-cairo2-dev-0.6.2-r2.apk2024-10-25 19:10 446K 
[   ]ocaml-calendar-2.04-r4.apk2024-10-25 19:10 233K 
[   ]ocaml-calendar-dev-2.04-r4.apk2024-10-25 19:10 168K 
[   ]ocaml-calendar-doc-2.04-r4.apk2024-10-25 19:10 12K 
[   ]ocaml-camlpdf-2.8.1-r0.apk2025-05-08 12:22 6.0M 
[   ]ocaml-camlzip-1.11-r2.apk2024-10-25 19:10 120K 
[   ]ocaml-camlzip-dev-1.11-r2.apk2024-10-25 19:10 221K 
[   ]ocaml-camomile-1.0.2-r3.apk2024-10-25 19:10 1.4M 
[   ]ocaml-camomile-data-1.0.2-r3.apk2024-10-25 19:10 5.1M 
[   ]ocaml-camomile-dev-1.0.2-r3.apk2024-10-25 19:10 2.5M 
[   ]ocaml-charinfo_width-1.1.0-r3.apk2024-10-25 19:10 114K 
[   ]ocaml-charinfo_width-dev-1.1.0-r3.apk2024-10-25 19:10 192K 
[   ]ocaml-cmdliner-1.1.1-r3.apk2024-10-25 19:10 495K 
[   ]ocaml-cmdliner-dev-1.1.1-r3.apk2024-10-25 19:10 250K 
[   ]ocaml-cmdliner-doc-1.1.1-r3.apk2024-10-25 19:10 20K 
[   ]ocaml-cohttp-5.3.1-r0.apk2024-10-25 19:10 715K 
[   ]ocaml-cohttp-dev-5.3.1-r0.apk2024-10-25 19:10 1.3M 
[   ]ocaml-cohttp-tools-5.3.1-r0.apk2024-10-25 19:10 9.9M 
[   ]ocaml-compiler-libs-repackaged-0.12.4-r3.apk2024-10-25 19:10 83K 
[   ]ocaml-compiler-libs-repackaged-dev-0.12.4-r3.apk2024-10-25 19:10 104K 
[   ]ocaml-conduit-6.1.0-r0.apk2024-10-25 19:10 305K 
[   ]ocaml-conduit-dev-6.1.0-r0.apk2024-10-25 19:10 552K 
[   ]ocaml-containers-3.7-r2.apk2024-10-25 19:10 3.7M 
[   ]ocaml-containers-dev-3.7-r2.apk2024-10-25 19:10 6.7M 
[   ]ocaml-containers-top-3.7-r2.apk2024-10-25 19:10 25K 
[   ]ocaml-cpdf-2.8.1-r0.apk2025-05-08 12:22 4.9M 
[   ]ocaml-cstruct-6.1.0-r3.apk2024-10-25 19:10 5.5M 
[   ]ocaml-cstruct-dev-6.1.0-r3.apk2024-10-25 19:10 711K 
[   ]ocaml-ctypes-0.20.1-r2.apk2024-10-25 19:10 966K 
[   ]ocaml-ctypes-dev-0.20.1-r2.apk2024-10-25 19:10 878K 
[   ]ocaml-curses-1.0.10-r2.apk2024-10-25 19:10 147K 
[   ]ocaml-curses-dev-1.0.10-r2.apk2024-10-25 19:10 315K 
[   ]ocaml-dns-6.2.2-r3.apk2024-10-25 19:10 2.4M 
[   ]ocaml-dns-dev-6.2.2-r3.apk2024-10-25 19:10 4.2M 
[   ]ocaml-dns-tools-6.2.2-r3.apk2024-10-25 19:10 14M 
[   ]ocaml-domain-name-0.4.0-r2.apk2024-10-25 19:10 77K 
[   ]ocaml-domain-name-dev-0.4.0-r2.apk2024-10-25 19:10 142K 
[   ]ocaml-down-0.1.0-r3.apk2024-10-25 19:10 697K 
[   ]ocaml-down-dev-0.1.0-r3.apk2024-10-25 19:10 357K 
[   ]ocaml-duration-0.2.0-r2.apk2024-10-25 19:10 29K 
[   ]ocaml-duration-dev-0.2.0-r2.apk2024-10-25 19:10 44K 
[   ]ocaml-easy-format-1.3.4-r1.apk2024-10-25 19:10 63K 
[   ]ocaml-easy-format-dev-1.3.4-r1.apk2024-10-25 19:10 112K 
[   ]ocaml-eqaf-0.8-r2.apk2024-10-25 19:10 75K 
[   ]ocaml-eqaf-dev-0.8-r2.apk2024-10-25 19:10 122K 
[   ]ocaml-erm_xml-0_git20211229-r2.apk2024-10-25 19:10 601K 
[   ]ocaml-erm_xml-dev-0_git20211229-r2.apk2024-10-25 19:10 795K 
[   ]ocaml-erm_xmpp-0_git20220404-r2.apk2024-10-25 19:10 1.4M 
[   ]ocaml-erm_xmpp-dev-0_git20220404-r2.apk2024-10-25 19:10 1.7M 
[   ]ocaml-ethernet-3.0.0-r3.apk2024-10-25 19:10 47K 
[   ]ocaml-ethernet-dev-3.0.0-r3.apk2024-10-25 19:10 84K 
[   ]ocaml-extlib-1.7.9-r2.apk2024-10-25 19:10 667K 
[   ]ocaml-extlib-dev-1.7.9-r2.apk2024-10-25 19:10 1.3M 
[   ]ocaml-extlib-doc-1.7.9-r2.apk2024-10-25 19:10 11K 
[   ]ocaml-ezxmlm-1.1.0-r0.apk2024-10-25 19:10 34K 
[   ]ocaml-ezxmlm-dev-1.1.0-r0.apk2024-10-25 19:10 53K 
[   ]ocaml-fileutils-0.6.4-r2.apk2024-10-25 19:10 329K 
[   ]ocaml-fileutils-dev-0.6.4-r2.apk2024-10-25 19:10 603K 
[   ]ocaml-fileutils-doc-0.6.4-r2.apk2024-10-25 19:10 16K 
[   ]ocaml-fix-20220121-r2.apk2024-10-25 19:10 174K 
[   ]ocaml-fix-dev-20220121-r2.apk2024-10-25 19:10 431K 
[   ]ocaml-fmt-0.9.0-r2.apk2024-10-25 19:10 208K 
[   ]ocaml-fmt-dev-0.9.0-r2.apk2024-10-25 19:10 128K 
[   ]ocaml-fpath-0.7.3-r2.apk2024-10-25 19:10 147K 
[   ]ocaml-fpath-dev-0.7.3-r2.apk2024-10-25 19:10 103K 
[   ]ocaml-gen-1.1-r1.apk2024-10-25 19:10 335K 
[   ]ocaml-gen-dev-1.1-r1.apk2024-10-25 19:10 614K 
[   ]ocaml-gettext-0.4.2-r3.apk2024-10-25 19:10 4.5M 
[   ]ocaml-gettext-dev-0.4.2-r3.apk2024-10-25 19:10 733K 
[   ]ocaml-gettext-doc-0.4.2-r3.apk2024-10-25 19:10 19K 
[   ]ocaml-gitlab-0.1.8-r0.apk2024-10-25 19:10 3.1M 
[   ]ocaml-gitlab-dev-0.1.8-r0.apk2024-10-25 19:10 12M 
[   ]ocaml-gmap-0.3.0-r2.apk2024-10-25 19:10 38K 
[   ]ocaml-gmap-dev-0.3.0-r2.apk2024-10-25 19:10 75K 
[   ]ocaml-happy-eyeballs-0.3.0-r2.apk2024-10-25 19:10 89K 
[   ]ocaml-happy-eyeballs-dev-0.3.0-r2.apk2024-10-25 19:10 149K 
[   ]ocaml-happy-eyeballs-lwt-0.3.0-r3.apk2024-10-25 19:10 44K 
[   ]ocaml-happy-eyeballs-lwt-dev-0.3.0-r3.apk2024-10-25 19:10 60K 
[   ]ocaml-happy-eyeballs-lwt-tools-0.3.0-r3.apk2024-10-25 19:10 3.1M 
[   ]ocaml-hex-1.5.0-r2.apk2024-10-25 19:10 33K 
[   ]ocaml-hex-dev-1.5.0-r2.apk2024-10-25 19:10 54K 
[   ]ocaml-higlo-0.9-r0.apk2024-10-25 19:10 6.2M 
[   ]ocaml-higlo-dev-0.9-r0.apk2024-10-25 19:10 681K 
[   ]ocaml-hkdf-1.0.4-r2.apk2024-10-25 19:10 15K 
[   ]ocaml-hkdf-dev-1.0.4-r2.apk2024-10-25 19:10 18K 
[   ]ocaml-integers-0.7.0-r2.apk2024-10-25 19:10 135K 
[   ]ocaml-integers-dev-0.7.0-r2.apk2024-10-25 19:10 277K 
[   ]ocaml-ipaddr-5.3.1-r2.apk2024-10-25 19:10 339K 
[   ]ocaml-ipaddr-dev-5.3.1-r2.apk2024-10-25 19:10 564K 
[   ]ocaml-iri-1.0.0-r0.apk2024-10-25 19:10 1.9M 
[   ]ocaml-iri-dev-1.0.0-r0.apk2024-10-25 19:10 773K 
[   ]ocaml-iso8601-0.2.6-r0.apk2024-10-25 19:10 56K 
[   ]ocaml-iso8601-dev-0.2.6-r0.apk2024-10-25 19:10 88K 
[   ]ocaml-jsonm-1.0.2-r0.apk2024-10-25 19:10 130K 
[   ]ocaml-jsonm-dev-1.0.2-r0.apk2024-10-25 19:10 71K 
[   ]ocaml-jsonm-tools-1.0.2-r0.apk2024-10-25 19:10 578K 
[   ]ocaml-lablgtk3-3.1.2-r3.apk2024-10-25 19:10 8.4M 
[   ]ocaml-lablgtk3-dev-3.1.2-r3.apk2024-10-25 19:10 14M 
[   ]ocaml-lablgtk3-extras-3.0.1-r2.apk2024-10-25 19:10 914K 
[   ]ocaml-lablgtk3-extras-dev-3.0.1-r2.apk2024-10-25 19:10 1.5M 
[   ]ocaml-labltk-8.06.12-r2.apk2024-10-25 19:10 2.9M 
[   ]ocaml-labltk-dev-8.06.12-r2.apk2024-10-25 19:10 1.5M 
[   ]ocaml-lambda-term-3.2.0-r4.apk2024-10-25 19:10 4.0M 
[   ]ocaml-lambda-term-dev-3.2.0-r4.apk2024-10-25 19:10 3.9M 
[   ]ocaml-lambda-term-doc-3.2.0-r4.apk2024-10-25 19:10 8.8K 
[   ]ocaml-lambdasoup-0.7.3-r2.apk2024-10-25 19:10 199K 
[   ]ocaml-lambdasoup-dev-0.7.3-r2.apk2024-10-25 19:10 349K 
[   ]ocaml-libvirt-0.6.1.7-r0.apk2024-10-25 19:10 177K 
[   ]ocaml-libvirt-dev-0.6.1.7-r0.apk2024-10-25 19:10 104K 
[   ]ocaml-libvirt-doc-0.6.1.7-r0.apk2024-10-25 19:10 14K 
[   ]ocaml-logs-0.7.0-r3.apk2024-10-25 19:10 131K 
[   ]ocaml-logs-dev-0.7.0-r3.apk2024-10-25 19:10 89K 
[   ]ocaml-lru-0.3.0-r2.apk2024-10-25 19:10 80K 
[   ]ocaml-lru-dev-0.3.0-r2.apk2024-10-25 19:10 164K 
[   ]ocaml-lwd-0.3-r0.apk2024-10-25 19:10 518K 
[   ]ocaml-lwd-dev-0.3-r0.apk2024-10-25 19:10 1.0M 
[   ]ocaml-lwt-5.7.0-r0.apk2024-10-25 19:10 1.3M 
[   ]ocaml-lwt-dev-5.7.0-r0.apk2024-10-25 19:10 3.2M 
[   ]ocaml-lwt-dllist-1.0.1-r3.apk2024-10-25 19:10 24K 
[   ]ocaml-lwt-dllist-dev-1.0.1-r3.apk2024-10-25 19:10 40K 
[   ]ocaml-lwt_log-1.1.1-r5.apk2024-10-25 19:10 140K 
[   ]ocaml-lwt_log-dev-1.1.1-r5.apk2024-10-25 19:10 259K 
[   ]ocaml-lwt_ppx-5.7.0-r0.apk2024-10-25 19:10 5.2M 
[   ]ocaml-lwt_react-5.7.0-r0.apk2024-10-25 19:10 125K 
[   ]ocaml-lwt_ssl-1.2.0-r0.apk2024-10-25 19:10 32K 
[   ]ocaml-lwt_ssl-dev-1.2.0-r0.apk2024-10-25 19:10 48K 
[   ]ocaml-magic-mime-1.3.1-r0.apk2024-10-25 19:10 260K 
[   ]ocaml-magic-mime-dev-1.3.1-r0.apk2024-10-25 19:10 335K 
[   ]ocaml-markup-1.0.3-r3.apk2024-10-25 19:10 1.1M 
[   ]ocaml-markup-dev-1.0.3-r3.apk2024-10-25 19:10 2.1M 
[   ]ocaml-menhir-20220210-r3.apk2024-12-08 16:19 1.7M 
[   ]ocaml-menhir-dev-20220210-r3.apk2024-12-08 16:19 912K 
[   ]ocaml-menhir-doc-20220210-r3.apk2024-12-08 16:19 610K 
[   ]ocaml-merlin-extend-0.6.1-r2.apk2024-10-25 19:10 53K 
[   ]ocaml-merlin-extend-dev-0.6.1-r2.apk2024-10-25 19:10 92K 
[   ]ocaml-metrics-0.4.0-r3.apk2024-10-25 19:10 267K 
[   ]ocaml-metrics-dev-0.4.0-r3.apk2024-10-25 19:10 456K 
[   ]ocaml-mew-0.1.0-r3.apk2024-10-25 19:10 72K 
[   ]ocaml-mew-dev-0.1.0-r3.apk2024-10-25 19:10 97K 
[   ]ocaml-mew_vi-0.5.0-r3.apk2024-10-25 19:10 191K 
[   ]ocaml-mew_vi-dev-0.5.0-r3.apk2024-10-25 19:10 298K 
[   ]ocaml-mikmatch-1.0.9-r2.apk2024-10-25 19:10 148K 
[   ]ocaml-mirage-clock-4.2.0-r2.apk2024-10-25 19:10 33K 
[   ]ocaml-mirage-clock-dev-4.2.0-r2.apk2024-10-25 19:10 34K 
[   ]ocaml-mirage-crypto-0.10.6-r3.apk2024-10-25 19:10 939K 
[   ]ocaml-mirage-crypto-dev-0.10.6-r3.apk2024-10-25 19:10 2.6M 
[   ]ocaml-mirage-flow-3.0.0-r3.apk2024-10-25 19:10 119K 
[   ]ocaml-mirage-flow-dev-3.0.0-r3.apk2024-10-25 19:10 196K 
[   ]ocaml-mirage-kv-4.0.1-r3.apk2024-10-25 19:10 22K 
[   ]ocaml-mirage-kv-dev-4.0.1-r3.apk2024-10-25 19:10 43K 
[   ]ocaml-mirage-net-4.0.0-r3.apk2024-10-25 19:10 14K 
[   ]ocaml-mirage-net-dev-4.0.0-r3.apk2024-10-25 19:10 20K 
[   ]ocaml-mirage-profile-0.9.1-r3.apk2024-10-25 19:10 27K 
[   ]ocaml-mirage-profile-dev-0.9.1-r3.apk2024-10-25 19:10 32K 
[   ]ocaml-mirage-random-3.0.0-r3.apk2024-10-25 19:10 7.7K 
[   ]ocaml-mirage-random-dev-3.0.0-r3.apk2024-10-25 19:10 5.4K 
[   ]ocaml-mirage-time-3.0.0-r4.apk2024-10-25 19:10 14K 
[   ]ocaml-mirage-time-dev-3.0.0-r4.apk2024-10-25 19:10 8.2K 
[   ]ocaml-mmap-1.2.0-r3.apk2024-10-25 19:10 7.8K 
[   ]ocaml-mmap-dev-1.2.0-r3.apk2024-10-25 19:10 6.5K 
[   ]ocaml-mqtt-0.2.2-r0.apk2024-10-25 19:10 166K 
[   ]ocaml-mqtt-dev-0.2.2-r0.apk2024-10-25 19:10 260K 
[   ]ocaml-mtime-1.4.0-r2.apk2024-10-25 19:10 54K 
[   ]ocaml-mtime-dev-1.4.0-r2.apk2024-10-25 19:10 49K 
[   ]ocaml-notty-0.2.3-r0.apk2024-10-25 19:10 329K 
[   ]ocaml-notty-dev-0.2.3-r0.apk2024-10-25 19:10 615K 
[   ]ocaml-num-1.4-r3.apk2024-10-25 19:10 267K 
[   ]ocaml-num-dev-1.4-r3.apk2024-10-25 19:10 95K 
[   ]ocaml-obuild-0.1.11-r0.apk2024-10-25 19:10 1.4M 
[   ]ocaml-ocf-0.8.0-r3.apk2024-10-25 19:10 11M 
[   ]ocaml-ocf-dev-0.8.0-r3.apk2024-10-25 19:10 325K 
[   ]ocaml-ocp-indent-1.8.2-r2.apk2024-10-25 19:10 571K 
[   ]ocaml-ocp-indent-dev-1.8.2-r2.apk2024-10-25 19:10 638K 
[   ]ocaml-ocp-index-1.3.6-r0.apk2024-10-25 19:10 488K 
[   ]ocaml-ocp-index-dev-1.3.6-r0.apk2024-10-25 19:10 529K 
[   ]ocaml-ocplib-endian-1.2-r3.apk2024-10-25 19:10 165K 
[   ]ocaml-ocplib-endian-dev-1.2-r3.apk2024-10-25 19:10 264K 
[   ]ocaml-omake-0.10.6-r0.apk2024-10-25 19:10 2.2M 
[   ]ocaml-omake-doc-0.10.6-r0.apk2024-10-25 19:10 8.0K 
[   ]ocaml-omod-0.0.3-r3.apk2024-10-25 19:10 352K 
[   ]ocaml-omod-bin-0.0.3-r3.apk2024-10-25 19:10 3.7M 
[   ]ocaml-omod-dev-0.0.3-r3.apk2024-10-25 19:10 218K 
[   ]ocaml-otoml-1.0.5-r0.apk2024-10-25 19:10 460K 
[   ]ocaml-otoml-dev-1.0.5-r0.apk2024-10-25 19:10 783K 
[   ]ocaml-otr-0.3.10-r2.apk2024-10-25 19:10 300K 
[   ]ocaml-otr-dev-0.3.10-r2.apk2024-10-25 19:10 531K 
[   ]ocaml-ounit-2.2.7-r3.apk2024-10-25 19:10 577K 
[   ]ocaml-ounit-dev-2.2.7-r3.apk2024-10-25 19:10 1.1M 
[   ]ocaml-parsexp-0.16.0-r0.apk2024-10-25 19:10 401K 
[   ]ocaml-parsexp-dev-0.16.0-r0.apk2024-10-25 19:10 964K 
[   ]ocaml-pbkdf-1.2.0-r2.apk2024-10-25 19:10 19K 
[   ]ocaml-pbkdf-dev-1.2.0-r2.apk2024-10-25 19:10 25K 
[   ]ocaml-pcre-7.5.0-r4.apk2024-10-25 19:10 156K 
[   ]ocaml-pcre-dev-7.5.0-r4.apk2024-10-25 19:10 305K 
[   ]ocaml-ppx_blob-0.8.0-r0.apk2024-10-25 19:10 5.1M 
[   ]ocaml-ppx_blob-dev-0.8.0-r0.apk2024-10-25 19:10 19K 
[   ]ocaml-ppx_derivers-1.2.1-r2.apk2024-10-25 19:10 11K 
[   ]ocaml-ppx_derivers-dev-1.2.1-r2.apk2024-10-25 19:10 11K 
[   ]ocaml-ppx_deriving-5.3.0-r0.apk2024-10-25 19:10 6.6M 
[   ]ocaml-ppx_deriving-dev-5.3.0-r0.apk2024-10-25 19:10 1.3M 
[   ]ocaml-ppx_sexp_conv-0.16.0-r0.apk2024-10-25 19:10 616K 
[   ]ocaml-ppx_sexp_conv-dev-0.16.0-r0.apk2024-10-25 19:10 1.2M 
[   ]ocaml-ppxlib-0.32.0-r0.apk2024-10-25 19:10 14M 
[   ]ocaml-ppxlib-dev-0.32.0-r0.apk2024-10-25 19:10 16M 
[   ]ocaml-psq-0.2.0-r2.apk2024-10-25 19:10 102K 
[   ]ocaml-psq-dev-0.2.0-r2.apk2024-10-25 19:10 174K 
[   ]ocaml-ptime-1.0.0-r2.apk2024-10-25 19:10 122K 
[   ]ocaml-ptime-dev-1.0.0-r2.apk2024-10-25 19:10 76K 
[   ]ocaml-ptmap-2.0.5-r3.apk2024-10-25 19:10 57K 
[   ]ocaml-ptmap-dev-2.0.5-r3.apk2024-10-25 19:10 95K 
[   ]ocaml-qcheck-0.18.1-r3.apk2024-10-25 19:10 709K 
[   ]ocaml-qcheck-dev-0.18.1-r3.apk2024-10-25 19:10 1.4M 
[   ]ocaml-qtest-2.11.2-r3.apk2024-10-25 19:10 418K 
[   ]ocaml-qtest-dev-2.11.2-r3.apk2024-10-25 19:10 3.6K 
[   ]ocaml-randomconv-0.1.3-r2.apk2024-10-25 19:10 15K 
[   ]ocaml-randomconv-dev-0.1.3-r2.apk2024-10-25 19:10 18K 
[   ]ocaml-re-1.11.0-r1.apk2024-10-25 19:10 559K 
[   ]ocaml-re-dev-1.11.0-r1.apk2024-10-25 19:10 1.0M 
[   ]ocaml-react-1.2.2-r2.apk2024-10-25 19:10 297K 
[   ]ocaml-react-dev-1.2.2-r2.apk2024-10-25 19:10 198K 
[   ]ocaml-reason-3.8.2-r1.apk2024-10-25 19:10 16M 
[   ]ocaml-reason-dev-3.8.2-r1.apk2024-10-25 19:10 29M 
[   ]ocaml-result-1.5-r2.apk2024-10-25 19:10 9.6K 
[   ]ocaml-result-dev-1.5-r2.apk2024-10-25 19:10 8.0K 
[   ]ocaml-rresult-0.7.0-r2.apk2024-10-25 19:10 43K 
[   ]ocaml-rresult-dev-0.7.0-r2.apk2024-10-25 19:10 36K 
[   ]ocaml-sedlex-3.2-r0.apk2024-10-25 19:10 5.9M 
[   ]ocaml-sedlex-dev-3.2-r0.apk2024-10-25 19:10 1.5M 
[   ]ocaml-seq-0.3.1-r2.apk2024-10-25 19:10 15K 
[   ]ocaml-seq-dev-0.3.1-r2.apk2024-10-25 19:10 20K 
[   ]ocaml-sexplib-0.16.0-r0.apk2024-10-25 19:10 509K 
[   ]ocaml-sexplib-dev-0.16.0-r0.apk2024-10-25 19:10 830K 
[   ]ocaml-sexplib0-0.16.0-r0.apk2024-10-25 19:10 179K 
[   ]ocaml-sexplib0-dev-0.16.0-r0.apk2024-10-25 19:10 338K 
[   ]ocaml-sha-1.15.4-r0.apk2024-10-25 19:10 68K 
[   ]ocaml-sha-dev-1.15.4-r0.apk2024-10-25 19:10 222K 
[   ]ocaml-ssl-0.7.0-r0.apk2024-10-25 19:10 101K 
[   ]ocaml-ssl-dev-0.7.0-r0.apk2024-10-25 19:10 211K 
[   ]ocaml-stdlib-shims-0.3.0-r2.apk2024-10-25 19:10 4.5K 
[   ]ocaml-stk-0.1.0-r0.apk2024-10-25 19:10 6.3M 
[   ]ocaml-stk-dev-0.1.0-r0.apk2024-10-25 19:10 10M 
[   ]ocaml-stringext-1.6.0-r2.apk2024-10-25 19:10 46K 
[   ]ocaml-stringext-dev-1.6.0-r2.apk2024-10-25 19:10 78K 
[   ]ocaml-tcpip-7.1.2-r3.apk2024-10-25 19:10 1.3M 
[   ]ocaml-tcpip-dev-7.1.2-r3.apk2024-10-25 19:10 2.4M 
[   ]ocaml-tls-0.15.3-r4.apk2024-10-25 19:10 1.3M 
[   ]ocaml-tls-dev-0.15.3-r4.apk2024-10-25 19:10 2.4M 
[   ]ocaml-tophide-1.0.4-r2.apk2024-10-25 19:10 5.6K 
[   ]ocaml-topkg-1.0.5-r2.apk2024-10-25 19:10 655K 
[   ]ocaml-topkg-dev-1.0.5-r2.apk2024-10-25 19:10 418K 
[   ]ocaml-trie-1.0.0-r2.apk2024-10-25 19:10 17K 
[   ]ocaml-trie-dev-1.0.0-r2.apk2024-10-25 19:10 25K 
[   ]ocaml-tsdl-1.0.0-r0.apk2024-10-25 19:10 946K 
[   ]ocaml-tsdl-dev-1.0.0-r0.apk2024-10-25 19:10 582K 
[   ]ocaml-tsdl-image-0.6-r0.apk2024-10-25 19:10 52K 
[   ]ocaml-tsdl-image-dev-0.6-r0.apk2024-10-25 19:10 78K 
[   ]ocaml-tsdl-ttf-0.6-r0.apk2024-10-25 19:10 67K 
[   ]ocaml-tsdl-ttf-dev-0.6-r0.apk2024-10-25 19:10 110K 
[   ]ocaml-uri-4.2.0-r2.apk2024-10-25 19:10 1.5M 
[   ]ocaml-uri-dev-4.2.0-r2.apk2024-10-25 19:10 4.3M 
[   ]ocaml-utop-2.9.1-r4.apk2024-10-25 19:10 349K 
[   ]ocaml-utop-dev-2.9.1-r4.apk2024-10-25 19:10 763K 
[   ]ocaml-uucd-14.0.0-r2.apk2024-10-25 19:10 290K 
[   ]ocaml-uucd-dev-14.0.0-r2.apk2024-10-25 19:10 173K 
[   ]ocaml-uucp-14.0.0-r2.apk2024-10-25 19:10 5.9M 
[   ]ocaml-uucp-dev-14.0.0-r2.apk2024-10-25 19:10 1.2M 
[   ]ocaml-uuidm-0.9.8-r2.apk2024-10-25 19:10 49K 
[   ]ocaml-uuidm-dev-0.9.8-r2.apk2024-10-25 19:10 25K 
[   ]ocaml-uuidm-tools-0.9.8-r2.apk2024-10-25 19:10 577K 
[   ]ocaml-uunf-14.0.0-r2.apk2024-10-25 19:10 1.4M 
[   ]ocaml-uunf-dev-14.0.0-r2.apk2024-10-25 19:10 266K 
[   ]ocaml-uuseg-14.0.0-r2.apk2024-10-25 19:10 99K 
[   ]ocaml-uuseg-dev-14.0.0-r2.apk2024-10-25 19:10 48K 
[   ]ocaml-uuseg-tools-14.0.0-r2.apk2024-10-25 19:10 1.8M 
[   ]ocaml-uutf-1.0.3-r2.apk2024-10-25 19:10 786K 
[   ]ocaml-uutf-dev-1.0.3-r2.apk2024-10-25 19:10 57K 
[   ]ocaml-x509-0.16.0-r2.apk2024-10-25 19:10 883K 
[   ]ocaml-x509-dev-0.16.0-r2.apk2024-10-25 19:10 1.6M 
[   ]ocaml-xml-light-2.5-r0.apk2024-10-25 19:10 228K 
[   ]ocaml-xml-light-dev-2.5-r0.apk2024-10-25 19:10 389K 
[   ]ocaml-xmlm-1.4.0-r2.apk2024-10-25 19:10 676K 
[   ]ocaml-xmlm-dev-1.4.0-r2.apk2024-10-25 19:10 102K 
[   ]ocaml-xtmpl-0.19.0-r0.apk2024-10-25 19:10 12M 
[   ]ocaml-xtmpl-dev-0.19.0-r0.apk2024-10-25 19:10 780K 
[   ]ocaml-yojson-2.1.2-r0.apk2024-10-25 19:10 1.1M 
[   ]ocaml-yojson-dev-2.1.2-r0.apk2024-10-25 19:10 1.7M 
[   ]ocaml-zed-3.1.0-r3.apk2024-10-25 19:10 542K 
[   ]ocaml-zed-dev-3.1.0-r3.apk2024-10-25 19:10 1.1M 
[   ]ocaml5-llvm-next-22.0.0_pre20250725-r0.apk2025-07-25 09:23 676K 
[   ]ocamlnet-4.1.9-r2.apk2024-10-25 19:10 17M 
[   ]ocamlnet-dev-4.1.9-r2.apk2024-10-25 19:10 6.1M 
[   ]ocamlnet-tcl-4.1.9-r2.apk2024-10-25 19:10 56K 
[   ]ocfs2-tools-1.8.7-r4.apk2024-10-25 19:10 1.1M 
[   ]ocfs2-tools-dev-1.8.7-r4.apk2024-10-25 19:10 45K 
[   ]ocfs2-tools-doc-1.8.7-r4.apk2024-10-25 19:10 69K 
[   ]ocp-indent-1.8.2-r2.apk2024-10-25 19:10 1.5M 
[   ]ocp-indent-doc-1.8.2-r2.apk2024-10-25 19:10 17K 
[   ]ocp-indent-emacs-1.8.2-r2.apk2024-10-25 19:10 3.7K 
[   ]ocp-indent-vim-1.8.2-r2.apk2024-10-25 19:10 2.4K 
[   ]ocp-index-1.3.6-r0.apk2024-10-25 19:10 7.5M 
[   ]ocp-index-doc-1.3.6-r0.apk2024-10-25 19:10 31K 
[   ]ocp-index-emacs-1.3.6-r0.apk2024-10-25 19:10 6.3K 
[   ]ocp-index-vim-1.3.6-r0.apk2024-10-25 19:10 3.1K 
[   ]octoprint-1.10.3-r0.apk2024-12-25 22:04 3.0M 
[   ]octoprint-creality2xfix-0.0.4-r2.apk2024-10-25 19:10 4.7K 
[   ]octoprint-creality2xfix-pyc-0.0.4-r2.apk2024-10-25 19:10 3.3K 
[   ]octoprint-filecheck-2024.11.12-r0.apk2025-07-12 16:01 28K 
[   ]octoprint-filecheck-pyc-2024.11.12-r0.apk2025-07-12 16:01 12K 
[   ]octoprint-firmwarecheck-2021.10.11-r2.apk2024-10-25 19:10 29K 
[   ]octoprint-firmwarecheck-pyc-2021.10.11-r2.apk2024-10-25 19:10 18K 
[   ]octoprint-openrc-1.10.3-r0.apk2024-12-25 22:04 1.7K 
[   ]octoprint-pisupport-2023.10.10-r1.apk2024-10-25 19:10 31K 
[   ]octoprint-pisupport-pyc-2023.10.10-r1.apk2024-10-25 19:10 13K 
[   ]octoprint-pyc-1.10.3-r0.apk2024-12-25 22:04 1.2M 
[   ]odin-0.2025.07-r0.apk2025-07-12 06:30 3.0M 
[   ]odin-doc-0.2025.07-r0.apk2025-07-12 06:30 4.8K 
[   ]odin-vendor-0.2025.07-r0.apk2025-07-12 06:30 3.7M 
[   ]oha-1.9.0-r0.apk2025-06-22 02:19 2.9M 
[   ]oha-doc-1.9.0-r0.apk2025-06-22 02:19 2.2K 
[   ]oil-0.21.0-r0.apk2024-10-25 19:10 1.5M 
[   ]oil-doc-0.21.0-r0.apk2024-10-25 19:10 7.0K 
[   ]oils-for-unix-0.34.0-r0.apk2025-07-23 04:04 622K 
[   ]oils-for-unix-bash-0.34.0-r0.apk2025-07-23 04:04 1.5K 
[   ]oils-for-unix-binsh-0.34.0-r0.apk2025-07-23 04:04 1.5K 
[   ]oils-for-unix-doc-0.34.0-r0.apk2025-07-23 04:04 7.0K 
[   ]ol-2.6-r0.apk2025-05-03 20:03 1.0M 
[   ]ol-dev-2.6-r0.apk2025-05-03 20:03 16K 
[   ]ol-doc-2.6-r0.apk2025-05-03 20:03 2.6K 
[   ]olab-0.1.8-r0.apk2024-10-25 19:10 4.5M 
[   ]olsrd-0.9.8-r3.apk2024-10-25 19:10 163K 
[   ]olsrd-doc-0.9.8-r3.apk2024-10-25 19:10 25K 
[   ]olsrd-openrc-0.9.8-r3.apk2024-10-25 19:10 1.9K 
[   ]olsrd-plugins-0.9.8-r3.apk2024-10-25 19:10 198K 
[   ]oniux-0.5.0-r0.apk2025-05-25 15:27 5.5M 
[   ]oniux-doc-0.5.0-r0.apk2025-05-25 15:27 3.1K 
[   ]onnxruntime-1.22.1-r0.apk2025-07-12 16:01 9.9M 
[   ]onnxruntime-dev-1.22.1-r0.apk2025-07-12 16:01 115K 
[   ]opa-1.6.0-r0.apk2025-07-23 04:04 9.8M 
[   ]opa-bash-completion-1.6.0-r0.apk2025-07-23 04:04 6.1K 
[   ]opa-doc-1.6.0-r0.apk2025-07-23 04:04 23K 
[   ]opa-fish-completion-1.6.0-r0.apk2025-07-23 04:04 4.3K 
[   ]opa-zsh-completion-1.6.0-r0.apk2025-07-23 04:04 4.0K 
[   ]opcr-policy-0.3.0-r5.apk2025-07-12 06:30 8.4M 
[   ]openapi-tui-0.9.4-r1.apk2024-10-25 19:10 4.3M 
[   ]openapi-validator-1.19.2-r0.apk2024-10-25 19:10 9.9M 
[   ]openconnect-sso-0.8.0_git20230822-r0.apk2025-05-14 09:13 29K 
[   ]openconnect-sso-pyc-0.8.0_git20230822-r0.apk2025-05-14 09:13 29K 
[   ]opendht-3.1.11-r0.apk2025-01-27 20:56 182K 
[   ]opendht-dev-3.1.11-r0.apk2025-01-27 20:56 71K 
[   ]opendht-doc-3.1.11-r0.apk2025-01-27 20:56 3.0K 
[   ]opendht-libs-3.1.11-r0.apk2025-01-27 20:56 565K 
[   ]openfire-4.8.1-r1.apk2024-12-04 11:57 46M 
[   ]openfire-doc-4.8.1-r1.apk2024-12-04 11:57 3.8M 
[   ]openfire-openrc-4.8.1-r1.apk2024-12-04 11:57 1.9K 
[   ]openfire-plugins-4.8.1-r1.apk2024-12-04 11:57 72K 
[   ]openfortivpn-1.22.1-r0.apk2024-12-11 21:34 41K 
[   ]openfortivpn-doc-1.22.1-r0.apk2024-12-11 21:34 6.3K 
[   ]openfpgaloader-0.11.0-r0.apk2024-10-25 19:10 1.9M 
[   ]openjdk22-22.0.2_p9-r3.apk2025-02-17 15:07 1.5K 
[   ]openjdk22-demos-22.0.2_p9-r3.apk2025-02-17 15:07 5.2M 
[   ]openjdk22-doc-22.0.2_p9-r3.apk2025-02-17 15:07 187K 
[   ]openjdk22-jdk-22.0.2_p9-r3.apk2025-02-17 15:07 6.6M 
[   ]openjdk22-jmods-22.0.2_p9-r3.apk2025-02-17 15:07 75M 
[   ]openjdk22-jre-22.0.2_p9-r3.apk2025-02-17 15:07 1.0M 
[   ]openjdk22-jre-headless-22.0.2_p9-r3.apk2025-02-17 15:08 61M 
[   ]openjdk22-src-22.0.2_p9-r3.apk2025-02-17 15:08 48M 
[   ]openjdk22-static-libs-22.0.2_p9-r3.apk2025-02-17 15:08 20M 
[   ]openjdk23-23.0.2_p7-r1.apk2025-02-17 15:08 1.5K 
[   ]openjdk23-demos-23.0.2_p7-r1.apk2025-02-17 15:08 5.2M 
[   ]openjdk23-doc-23.0.2_p7-r1.apk2025-02-17 15:08 188K 
[   ]openjdk23-jdk-23.0.2_p7-r1.apk2025-02-17 15:08 6.8M 
[   ]openjdk23-jmods-23.0.2_p7-r1.apk2025-02-17 15:08 79M 
[   ]openjdk23-jre-23.0.2_p7-r1.apk2025-02-17 15:08 1.0M 
[   ]openjdk23-jre-headless-23.0.2_p7-r1.apk2025-02-17 15:08 65M 
[   ]openjdk23-src-23.0.2_p7-r1.apk2025-02-17 15:08 48M 
[   ]openjdk23-static-libs-23.0.2_p7-r1.apk2025-02-17 15:08 19M 
[   ]openjdk24-24.0.2_p12-r0.apk2025-07-23 04:04 1.5K 
[   ]openjdk24-demos-24.0.2_p12-r0.apk2025-07-23 04:04 5.3M 
[   ]openjdk24-doc-24.0.2_p12-r0.apk2025-07-23 04:04 32M 
[   ]openjdk24-jdk-24.0.2_p12-r0.apk2025-07-23 04:04 7.1M 
[   ]openjdk24-jmods-24.0.2_p12-r0.apk2025-07-23 04:04 80M 
[   ]openjdk24-jre-24.0.2_p12-r0.apk2025-07-23 04:04 1.0M 
[   ]openjdk24-jre-headless-24.0.2_p12-r0.apk2025-07-23 04:04 74M 
[   ]openjdk24-src-24.0.2_p12-r0.apk2025-07-23 04:04 48M 
[   ]openjdk24-static-libs-24.0.2_p12-r0.apk2025-07-23 04:04 20M 
[   ]openocd-esp32-0_git20250422-r1.apk2025-07-05 19:52 1.9M 
[   ]openocd-esp32-dev-0_git20250422-r1.apk2025-07-05 19:52 3.7K 
[   ]openocd-esp32-doc-0_git20250422-r1.apk2025-07-05 19:52 3.2K 
[   ]openocd-esp32-udev-rules-0_git20250422-r1.apk2025-07-05 19:52 3.5K 
[   ]openocd-git-0_git20240113-r1.apk2024-10-25 19:10 1.6M 
[   ]openocd-git-cmd-openocd-0_git20240113-r1.apk2024-10-25 19:10 1.5K 
[   ]openocd-git-dbg-0_git20240113-r1.apk2024-10-25 19:10 4.1M 
[   ]openocd-git-dev-0_git20240113-r1.apk2024-10-25 19:10 3.4K 
[   ]openocd-git-doc-0_git20240113-r1.apk2024-10-25 19:10 3.3K 
[   ]openocd-git-udev-rules-0_git20240113-r1.apk2024-10-25 19:10 3.4K 
[   ]openocd-riscv-0_git20230104-r2.apk2024-10-25 19:10 1.5M 
[   ]openocd-riscv-dev-0_git20230104-r2.apk2024-10-25 19:10 3.7K 
[   ]openocd-riscv-doc-0_git20230104-r2.apk2024-10-25 19:10 3.3K 
[   ]openocd-riscv-udev-rules-0_git20230104-r2.apk2024-10-25 19:10 3.3K 
[   ]openra-20231010-r1.apk2025-05-14 18:17 24M 
[   ]openrdap-0.9.1-r0.apk2025-06-24 15:50 3.1M 
[   ]openrdap-doc-0.9.1-r0.apk2025-06-24 15:50 2.2K 
[   ]openscap-daemon-0.1.10-r9.apk2024-10-25 19:10 60K 
[   ]openscap-daemon-doc-0.1.10-r9.apk2024-10-25 19:10 18K 
[   ]openscap-daemon-pyc-0.1.10-r9.apk2024-10-25 19:10 102K 
[   ]openslide-3.4.1-r3.apk2024-10-25 19:10 81K 
[   ]openslide-dev-3.4.1-r3.apk2024-10-25 19:10 6.9K 
[   ]openslide-doc-3.4.1-r3.apk2024-10-25 19:10 4.9K 
[   ]openslide-tools-3.4.1-r3.apk2024-10-25 19:10 14K 
[   ]openswitcher-0.5.0-r4.apk2024-10-25 19:10 148K 
[   ]openswitcher-proxy-0.5.0-r4.apk2024-10-25 19:10 9.4K 
[   ]openswitcher-proxy-openrc-0.5.0-r4.apk2024-10-25 19:10 2.0K 
[   ]opentelemetry-cpp-1.22.0-r0.apk2025-07-23 04:04 605K 
[   ]opentelemetry-cpp-dev-1.22.0-r0.apk2025-07-23 04:04 505K 
[   ]opentelemetry-cpp-exporter-otlp-common-1.22.0-r0.apk2025-07-23 04:04 46K 
[   ]opentelemetry-cpp-exporter-otlp-grpc-1.22.0-r0.apk2025-07-23 04:04 55K 
[   ]opentelemetry-cpp-exporter-otlp-http-1.22.0-r0.apk2025-07-23 04:04 81K 
[   ]opentelemetry-cpp-exporter-zipkin-1.22.0-r0.apk2025-07-23 04:04 47K 
[   ]openvpn3-3.8.5-r1.apk2025-02-17 15:08 377K 
[   ]openvpn3-dev-3.8.5-r1.apk2025-02-17 15:08 667K 
[   ]openwsman-2.8.1-r1.apk2025-06-30 07:25 48K 
[   ]openwsman-dev-2.8.1-r1.apk2025-06-30 07:25 56K 
[   ]openwsman-doc-2.8.1-r1.apk2025-06-30 07:25 2.4K 
[   ]openwsman-libs-2.8.1-r1.apk2025-06-30 07:25 318K 
[   ]opkg-0.7.0-r0.apk2024-10-25 19:10 10K 
[   ]opkg-dev-0.7.0-r0.apk2024-10-25 19:10 116K 
[   ]opkg-doc-0.7.0-r0.apk2024-10-25 19:10 7.7K 
[   ]opkg-libs-0.7.0-r0.apk2024-10-25 19:10 79K 
[   ]opkg-utils-0.7.0-r0.apk2024-10-25 19:10 25K 
[   ]opkg-utils-doc-0.7.0-r0.apk2024-10-25 19:10 3.6K 
[   ]opmsg-1.84-r1.apk2024-10-25 19:10 250K 
[   ]optee-client-3.20.0-r0.apk2024-10-25 19:10 21K 
[   ]optee-client-dev-3.20.0-r0.apk2024-10-25 19:10 29K 
[   ]optee-client-libs-3.20.0-r0.apk2024-10-25 19:10 39K 
[   ]orage-4.20.1-r0.apk2025-04-08 11:49 576K 
[   ]orage-lang-4.20.1-r0.apk2025-04-08 11:49 1.2M 
[   ]osmctools-0.9-r0.apk2024-10-25 19:10 120K 
[   ]ostui-1.0.3-r2.apk2025-05-13 22:00 4.6M 
[   ]ostui-doc-1.0.3-r2.apk2025-05-13 22:00 28K 
[   ]otf-0.3.23-r1.apk2025-07-12 06:30 1.3K 
[   ]otf-agent-0.3.23-r1.apk2025-07-12 06:30 7.9M 
[   ]otf-agent-openrc-0.3.23-r1.apk2025-07-12 06:30 2.0K 
[   ]otf-atkinson-hyperlegible-2020.0514-r1.apk2024-10-25 19:10 102K 
[   ]otf-atkinson-hyperlegible-doc-2020.0514-r1.apk2024-10-25 19:10 48K 
[   ]otf-cli-0.3.23-r1.apk2025-07-12 06:30 7.8M 
[   ]otf-server-0.3.23-r1.apk2025-07-12 06:30 12M 
[   ]otf-server-openrc-0.3.23-r1.apk2025-07-12 06:30 2.0K 
[   ]otpclient-4.1.1-r0.apk2025-07-23 04:04 115K 
[   ]otpclient-doc-4.1.1-r0.apk2025-07-23 04:04 3.6K 
[   ]otree-0.4.0-r0.apk2025-07-12 06:30 1.0M 
[   ]otree-doc-0.4.0-r0.apk2025-07-12 06:30 3.3K 
[   ]otrs-6.0.48-r2.apk2024-10-25 19:10 29M 
[   ]otrs-apache2-6.0.48-r2.apk2024-10-25 19:10 4.0K 
[   ]otrs-bash-completion-6.0.48-r2.apk2024-10-25 19:10 2.4K 
[   ]otrs-dev-6.0.48-r2.apk2024-10-25 19:10 3.9M 
[   ]otrs-doc-6.0.48-r2.apk2024-10-25 19:10 795K 
[   ]otrs-fastcgi-6.0.48-r2.apk2024-10-25 19:10 1.8K 
[   ]otrs-nginx-6.0.48-r2.apk2024-10-25 19:10 1.8K 
[   ]otrs-openrc-6.0.48-r2.apk2024-10-25 19:10 1.9K 
[   ]otrs-setup-6.0.48-r2.apk2024-10-25 19:10 107K 
[   ]ouch-0.6.1-r0.apk2025-05-28 07:37 1.6M 
[   ]ouch-bash-completion-0.6.1-r0.apk2025-05-28 07:37 2.5K 
[   ]ouch-doc-0.6.1-r0.apk2025-05-28 07:37 3.8K 
[   ]ouch-fish-completion-0.6.1-r0.apk2025-05-28 07:37 3.0K 
[   ]ouch-zsh-completion-0.6.1-r0.apk2025-05-28 07:37 3.1K 
[   ]ovn-24.03.1-r0.apk2024-10-25 19:10 7.1M 
[   ]ovn-dbg-24.03.1-r0.apk2024-10-25 19:10 26M 
[   ]ovn-dev-24.03.1-r0.apk2024-10-25 19:10 10M 
[   ]ovn-doc-24.03.1-r0.apk2024-10-25 19:10 512K 
[   ]ovn-openrc-24.03.1-r0.apk2024-10-25 19:10 4.1K 
[   ]ovos-0.0.1-r1.apk2024-10-25 19:10 1.5K 
[   ]ovos-audio-1.0.1-r0.apk2025-07-15 20:03 136K 
[   ]ovos-audio-pyc-1.0.1-r0.apk2025-07-15 20:03 36K 
[   ]ovos-core-1.3.1-r0.apk2025-05-26 12:39 51K 
[   ]ovos-core-pyc-1.3.1-r0.apk2025-05-26 12:39 64K 
[   ]ovos-dinkum-listener-0.4.1-r0.apk2025-07-15 20:03 109K 
[   ]ovos-dinkum-listener-pyc-0.4.1-r0.apk2025-07-15 20:03 57K 
[   ]ovos-gui-1.3.3-r0.apk2025-07-15 20:03 38K 
[   ]ovos-gui-pyc-1.3.3-r0.apk2025-07-15 20:03 38K 
[   ]ovos-messagebus-0.0.10-r0.apk2025-04-08 07:43 10K 
[   ]ovos-messagebus-pyc-0.0.10-r0.apk2025-04-08 07:43 6.6K 
[   ]ovos-phal-0.2.10-r0.apk2025-07-15 19:30 10K 
[   ]ovos-phal-pyc-0.2.10-r0.apk2025-07-15 19:30 7.2K 
[   ]ovos-skill-hello-world-0.0.4_alpha3-r1.apk2024-10-25 19:10 46K 
[   ]ovos-skill-hello-world-pyc-0.0.4_alpha3-r1.apk2024-10-25 19:10 4.0K 
[   ]ovpncc-0.1_rc1-r0.apk2024-10-25 19:10 12K 
[   ]ovpncc-doc-0.1_rc1-r0.apk2024-10-25 19:10 6.5K 
[   ]oxygen-icons-6.1.0-r0.apk2024-10-25 19:10 32M 
[   ]p0f-3.09b-r3.apk2024-10-25 19:10 76K 
[   ]p0f-doc-3.09b-r3.apk2024-10-25 19:10 25K 
[   ]p910nd-0.97-r2.apk2024-10-25 19:10 7.7K 
[   ]p910nd-doc-0.97-r2.apk2024-10-25 19:10 3.0K 
[   ]p910nd-openrc-0.97-r2.apk2024-10-25 19:10 1.8K 
[   ]pacparser-1.4.5-r1.apk2024-10-25 19:10 702K 
[   ]pacparser-dev-1.4.5-r1.apk2024-10-25 19:10 3.6K 
[   ]pacparser-doc-1.4.5-r1.apk2024-10-25 19:10 18K 
[   ]paged-markdown-3-pdf-0.1.3-r0.apk2025-05-29 10:14 464K 
[   ]paged-markdown-3-pdf-bash-completion-0.1.3-r0.apk2025-05-29 10:14 1.9K 
[   ]paged-markdown-3-pdf-fish-completion-0.1.3-r0.apk2025-05-29 10:14 1.8K 
[   ]paged-markdown-3-pdf-zsh-completion-0.1.3-r0.apk2025-05-29 10:14 1.9K 
[   ]pam-krb5-4.11-r1.apk2024-10-25 19:10 23K 
[   ]pam-krb5-doc-4.11-r1.apk2024-10-25 19:10 24K 
[   ]pam-pkcs11-0.6.13-r0.apk2025-06-10 19:57 268K 
[   ]pam-pkcs11-doc-0.6.13-r0.apk2025-06-10 19:57 14K 
[   ]pam_sqlite3-1.0.2-r2.apk2024-10-25 19:10 9.0K 
[   ]pamtester-0.1.2-r4.apk2024-10-25 19:10 8.9K 
[   ]pamtester-doc-0.1.2-r4.apk2024-10-25 19:10 2.9K 
[   ]pantalaimon-0.10.5-r4.apk2024-10-25 19:10 45K 
[   ]pantalaimon-doc-0.10.5-r4.apk2024-10-25 19:10 6.4K 
[   ]pantalaimon-pyc-0.10.5-r4.apk2024-10-25 19:10 83K 
[   ]pantalaimon-ui-0.10.5-r4.apk2024-10-25 19:10 1.7K 
[   ]paperde-0.2.1-r2.apk2024-10-25 19:10 652K 
[   ]paperde-dev-0.2.1-r2.apk2024-10-25 19:10 5.2K 
[   ]paperkey-1.6-r2.apk2024-10-25 19:10 17K 
[   ]paperkey-doc-1.6-r2.apk2024-10-25 19:10 4.5K 
[   ]paprefs-1.2-r2.apk2024-11-22 22:11 31K 
[   ]paprefs-lang-1.2-r2.apk2024-11-22 22:11 38K 
[   ]par-1.53.0-r1.apk2024-10-25 19:10 14K 
[   ]par-doc-1.53.0-r1.apk2024-10-25 19:10 30K 
[   ]par2cmdline-turbo-1.3.0-r0.apk2025-05-08 12:24 232K 
[   ]par2cmdline-turbo-doc-1.3.0-r0.apk2025-05-08 12:24 5.9K 
[   ]parcellite-1.2.5-r0.apk2024-10-25 19:10 225K 
[   ]parcellite-doc-1.2.5-r0.apk2024-10-25 19:10 25K 
[   ]parcellite-lang-1.2.5-r0.apk2024-10-25 19:10 49K 
[   ]parse-changelog-0.6.12-r0.apk2025-05-18 22:20 508K 
[   ]pash-2.3.0-r2.apk2024-10-25 19:10 4.3K 
[   ]pasystray-0.8.2-r0.apk2024-10-25 19:10 47K 
[   ]pasystray-doc-0.8.2-r0.apk2024-10-25 19:10 3.3K 
[   ]pathvector-6.3.2-r14.apk2025-07-12 06:30 3.8M 
[   ]pcl-1.14.1-r0.apk2025-02-17 15:08 477K 
[   ]pcl-dev-1.14.1-r0.apk2025-02-17 15:08 387K 
[   ]pcl-libs-1.14.1-r0.apk2025-02-17 15:08 1.2M 
[   ]pdal-python-plugins-1.6.5-r0.apk2025-06-21 21:42 229K 
[   ]pdf2svg-0.2.3-r1.apk2024-10-25 19:10 4.8K 
[   ]pdfcrack-0.20-r0.apk2024-10-25 19:10 25K 
[   ]pebble-le-0.3.0-r2.apk2024-12-14 19:38 64K 
[   ]pebble-le-dev-0.3.0-r2.apk2024-12-14 19:38 42K 
[   ]pebble-le-doc-0.3.0-r2.apk2024-12-14 19:38 3.7K 
[   ]peervpn-0.044-r5.apk2024-10-25 19:10 40K 
[   ]peervpn-openrc-0.044-r5.apk2024-10-25 19:10 1.8K 
[   ]peg-0.1.18-r1.apk2024-10-25 19:10 36K 
[   ]peg-doc-0.1.18-r1.apk2024-10-25 19:10 14K 
[   ]pegasus-frontend-16_alpha-r0.apk2024-10-25 19:10 1.2M 
[   ]pegasus-frontend-doc-16_alpha-r0.apk2024-10-25 19:10 16K 
[   ]percona-toolkit-3.5.4-r1.apk2024-10-25 19:10 1.8M 
[   ]percona-toolkit-doc-3.5.4-r1.apk2024-10-25 19:10 298K 
[   ]perl-adapter-async-0.019-r0.apk2024-10-25 19:10 8.1K 
[   ]perl-adapter-async-doc-0.019-r0.apk2024-10-25 19:10 17K 
[   ]perl-algorithm-backoff-0.010-r0.apk2024-10-25 19:10 9.6K 
[   ]perl-algorithm-backoff-doc-0.010-r0.apk2024-10-25 19:10 29K 
[   ]perl-algorithm-c3-0.11-r1.apk2024-10-25 19:10 5.7K 
[   ]perl-algorithm-c3-doc-0.11-r1.apk2024-10-25 19:10 5.1K 
[   ]perl-algorithm-cron-0.10-r4.apk2024-10-25 19:10 6.1K 
[   ]perl-algorithm-cron-doc-0.10-r4.apk2024-10-25 19:10 4.6K 
[   ]perl-algorithm-evolutionary-0.82.1-r0.apk2024-10-25 19:10 79K 
[   ]perl-algorithm-evolutionary-doc-0.82.1-r0.apk2024-10-25 19:10 148K 
[   ]perl-algorithm-permute-0.17-r1.apk2025-06-30 07:25 12K 
[   ]perl-algorithm-permute-doc-0.17-r1.apk2025-06-30 07:25 5.1K 
[   ]perl-aliased-0.34-r4.apk2024-10-25 19:10 5.7K 
[   ]perl-aliased-doc-0.34-r4.apk2024-10-25 19:10 5.7K 
[   ]perl-alien-base-modulebuild-1.17-r0.apk2025-06-08 16:02 22K 
[   ]perl-alien-base-modulebuild-doc-1.17-r0.apk2025-06-08 16:02 53K 
[   ]perl-alien-libgumbo-0.05-r1.apk2025-06-30 07:25 560K 
[   ]perl-alien-libgumbo-doc-0.05-r1.apk2025-06-30 07:25 4.8K 
[   ]perl-anyevent-dns-etchosts-0.0105-r0.apk2024-10-25 19:10 5.1K 
[   ]perl-anyevent-dns-etchosts-doc-0.0105-r0.apk2024-10-25 19:10 4.0K 
[   ]perl-anyevent-future-0.05-r0.apk2024-10-25 19:10 5.2K 
[   ]perl-anyevent-future-doc-0.05-r0.apk2024-10-25 19:10 5.4K 
[   ]perl-anyevent-riperedis-0.48-r0.apk2024-10-25 19:10 12K 
[   ]perl-anyevent-riperedis-doc-0.48-r0.apk2024-10-25 19:10 10K 
[   ]perl-app-a2p-1.013-r0.apk2025-07-23 04:04 44K 
[   ]perl-app-a2p-doc-1.013-r0.apk2025-07-23 04:04 5.4K 
[   ]perl-app-find2perl-1.005-r0.apk2025-07-23 04:04 8.5K 
[   ]perl-app-find2perl-doc-1.005-r0.apk2025-07-23 04:04 5.9K 
[   ]perl-archive-any-lite-0.11-r0.apk2025-07-12 06:30 4.1K 
[   ]perl-archive-any-lite-doc-0.11-r0.apk2025-07-12 06:30 3.7K 
[   ]perl-archive-extract-0.88-r1.apk2024-10-25 19:10 16K 
[   ]perl-archive-extract-doc-0.88-r1.apk2024-10-25 19:10 6.8K 
[   ]perl-array-diff-0.09-r0.apk2025-07-12 06:30 3.3K 
[   ]perl-array-diff-doc-0.09-r0.apk2025-07-12 06:30 3.9K 
[   ]perl-asa-1.04-r0.apk2025-06-11 16:34 4.3K 
[   ]perl-asa-doc-1.04-r0.apk2025-06-11 16:34 5.0K 
[   ]perl-astro-0.78-r0.apk2025-07-23 04:04 32K 
[   ]perl-astro-coords-0.22-r0.apk2025-07-23 04:04 53K 
[   ]perl-astro-coords-doc-0.22-r0.apk2025-07-23 04:04 40K 
[   ]perl-astro-doc-0.78-r0.apk2025-07-23 04:04 14K 
[   ]perl-astro-montenbruck-1.26-r0.apk2025-07-23 04:04 53K 
[   ]perl-astro-montenbruck-doc-1.26-r0.apk2025-07-23 04:04 60K 
[   ]perl-astro-pal-1.09-r0.apk2025-07-23 04:04 213K 
[   ]perl-astro-pal-doc-1.09-r0.apk2025-07-23 04:04 7.4K 
[   ]perl-astro-satpass-0.133-r0.apk2025-07-23 04:04 218K 
[   ]perl-astro-satpass-doc-0.133-r0.apk2025-07-23 04:04 133K 
[   ]perl-astro-telescope-0.71-r0.apk2025-07-23 04:04 48K 
[   ]perl-astro-telescope-doc-0.71-r0.apk2025-07-23 04:04 5.0K 
[   ]perl-autobox-3.0.2-r1.apk2025-06-30 07:25 19K 
[   ]perl-autobox-doc-3.0.2-r1.apk2025-06-30 07:25 8.9K 
[   ]perl-b-hooks-op-check-0.22-r1.apk2025-06-30 07:25 6.7K 
[   ]perl-b-hooks-op-check-doc-0.22-r1.apk2025-06-30 07:25 3.8K 
[   ]perl-b-utils-0.27-r1.apk2025-06-30 07:25 19K 
[   ]perl-b-utils-doc-0.27-r1.apk2025-06-30 07:25 9.5K 
[   ]perl-badger-0.16-r0.apk2025-06-15 14:50 253K 
[   ]perl-badger-doc-0.16-r0.apk2025-06-15 14:50 260K 
[   ]perl-barcode-zbar-0.10-r4.apk2025-06-30 07:25 28K 
[   ]perl-barcode-zbar-doc-0.10-r4.apk2025-06-30 07:25 13K 
[   ]perl-bareword-filehandles-0.007-r1.apk2025-06-30 07:25 6.1K 
[   ]perl-bareword-filehandles-doc-0.007-r1.apk2025-06-30 07:25 3.2K 
[   ]perl-bind-config-parser-0.01-r5.apk2024-10-25 19:10 3.9K 
[   ]perl-bind-config-parser-doc-0.01-r5.apk2024-10-25 19:10 3.6K 
[   ]perl-bsd-resource-1.2911-r11.apk2025-06-30 07:25 19K 
[   ]perl-bsd-resource-doc-1.2911-r11.apk2025-06-30 07:25 7.9K 
[   ]perl-bytes-random-secure-0.29-r0.apk2024-10-25 19:10 14K 
[   ]perl-bytes-random-secure-doc-0.29-r0.apk2024-10-25 19:10 12K 
[   ]perl-cache-lru-0.04-r0.apk2024-10-25 19:10 3.0K 
[   ]perl-cache-lru-doc-0.04-r0.apk2024-10-25 19:10 3.2K 
[   ]perl-cairo-1.109-r5.apk2025-06-30 07:25 71K 
[   ]perl-cairo-doc-1.109-r5.apk2025-06-30 07:25 14K 
[   ]perl-cairo-gobject-1.005-r5.apk2025-06-30 07:25 6.6K 
[   ]perl-cairo-gobject-doc-1.005-r5.apk2025-06-30 07:25 3.0K 
[   ]perl-carp-assert-more-2.9.0-r0.apk2025-04-13 20:28 9.0K 
[   ]perl-carp-assert-more-doc-2.9.0-r0.apk2025-04-13 20:28 7.8K 
[   ]perl-carp-repl-0.18-r0.apk2025-05-03 20:03 6.0K 
[   ]perl-carp-repl-doc-0.18-r0.apk2025-05-03 20:03 5.9K 
[   ]perl-catalyst-action-renderview-0.17-r0.apk2025-03-26 11:51 3.8K 
[   ]perl-catalyst-action-renderview-doc-0.17-r0.apk2025-03-26 11:51 4.0K 
[   ]perl-catalyst-action-rest-1.21-r0.apk2025-04-02 17:03 25K 
[   ]perl-catalyst-action-rest-doc-1.21-r0.apk2025-04-02 17:03 27K 
[   ]perl-catalyst-actionrole-acl-0.07-r0.apk2025-05-07 07:38 4.3K 
[   ]perl-catalyst-actionrole-acl-doc-0.07-r0.apk2025-05-07 07:38 5.7K 
[   ]perl-catalyst-authentication-credential-http-1.018-r0.apk2025-04-04 05:38 8.6K 
[   ]perl-catalyst-authentication-credential-http-doc-1.018-r0.apk2025-04-04 05:38 6.8K 
[   ]perl-catalyst-authentication-store-dbix-class-0.1506-r0.apk2025-06-11 16:35 13K 
[   ]perl-catalyst-authentication-store-dbix-class-doc-0.1506-r0.apk2025-06-11 16:35 14K 
[   ]perl-catalyst-component-instancepercontext-0.001001-r1.apk2025-06-19 10:55 2.7K 
[   ]perl-catalyst-component-instancepercontext-doc-0.001001-r1.apk2025-06-19 10:55 3.3K 
[   ]perl-catalyst-controller-actionrole-0.17-r0.apk2025-04-04 05:40 5.0K 
[   ]perl-catalyst-controller-actionrole-doc-0.17-r0.apk2025-04-04 05:40 4.4K 
[   ]perl-catalyst-devel-1.42-r0.apk2025-03-26 11:51 54K 
[   ]perl-catalyst-devel-doc-1.42-r0.apk2025-03-26 11:51 11K 
[   ]perl-catalyst-manual-5.9013-r0.apk2025-04-04 05:22 2.7K 
[   ]perl-catalyst-manual-doc-5.9013-r0.apk2025-04-04 05:22 375K 
[   ]perl-catalyst-model-adaptor-0.10-r0.apk2025-04-13 20:28 6.5K 
[   ]perl-catalyst-model-adaptor-doc-0.10-r0.apk2025-04-13 20:28 12K 
[   ]perl-catalyst-model-dbic-schema-0.66-r0.apk2025-06-11 16:35 19K 
[   ]perl-catalyst-model-dbic-schema-doc-0.66-r0.apk2025-06-11 16:35 18K 
[   ]perl-catalyst-plugin-authentication-0.10024-r0.apk2025-04-02 17:03 32K 
[   ]perl-catalyst-plugin-authentication-doc-0.10024-r0.apk2025-04-02 17:03 56K 
[   ]perl-catalyst-plugin-configloader-0.35-r0.apk2025-03-26 11:51 5.4K 
[   ]perl-catalyst-plugin-configloader-doc-0.35-r0.apk2025-03-26 11:51 10K 
[   ]perl-catalyst-plugin-i18n-0.10-r0.apk2025-04-04 09:43 4.1K 
[   ]perl-catalyst-plugin-i18n-doc-0.10-r0.apk2025-04-04 09:43 13K 
[   ]perl-catalyst-plugin-session-0.43-r0.apk2025-04-04 11:56 14K 
[   ]perl-catalyst-plugin-session-doc-0.43-r0.apk2025-04-04 11:56 25K 
[   ]perl-catalyst-plugin-session-state-cookie-0.18-r0.apk2025-04-20 04:32 4.9K 
[   ]perl-catalyst-plugin-session-state-cookie-doc-0.18-r0.apk2025-04-20 04:32 4.8K 
[   ]perl-catalyst-plugin-session-store-dbic-0.14-r0.apk2025-06-13 18:17 5.9K 
[   ]perl-catalyst-plugin-session-store-dbic-doc-0.14-r0.apk2025-06-13 18:17 6.4K 
[   ]perl-catalyst-plugin-session-store-delegate-0.06-r0.apk2025-06-13 18:17 4.5K 
[   ]perl-catalyst-plugin-session-store-delegate-doc-0.06-r0.apk2025-06-13 18:17 4.5K 
[   ]perl-catalyst-plugin-session-store-file-0.18-r0.apk2025-06-14 02:46 3.6K 
[   ]perl-catalyst-plugin-session-store-file-doc-0.18-r0.apk2025-06-14 02:46 4.0K 
[   ]perl-catalyst-plugin-stacktrace-0.12-r0.apk2025-06-14 02:46 4.7K 
[   ]perl-catalyst-plugin-stacktrace-doc-0.12-r0.apk2025-06-14 02:46 3.9K 
[   ]perl-catalyst-plugin-static-simple-0.37-r0.apk2025-03-26 11:51 8.7K 
[   ]perl-catalyst-plugin-static-simple-doc-0.37-r0.apk2025-03-26 11:51 7.4K 
[   ]perl-catalyst-runtime-5.90132-r0.apk2025-03-26 11:51 150K 
[   ]perl-catalyst-runtime-doc-5.90132-r0.apk2025-03-26 11:51 216K 
[   ]perl-catalyst-view-email-0.36-r0.apk2025-04-04 09:43 9.1K 
[   ]perl-catalyst-view-email-doc-0.36-r0.apk2025-04-04 09:43 11K 
[   ]perl-catalyst-view-tt-0.46-r0.apk2025-04-13 20:28 14K 
[   ]perl-catalyst-view-tt-doc-0.46-r0.apk2025-04-13 20:28 13K 
[   ]perl-catalystx-component-traits-0.19-r0.apk2025-05-07 07:38 4.3K 
[   ]perl-catalystx-component-traits-doc-0.19-r0.apk2025-05-07 07:38 4.0K 
[   ]perl-catalystx-injectcomponent-0.025-r0.apk2025-05-03 20:03 3.4K 
[   ]perl-catalystx-injectcomponent-doc-0.025-r0.apk2025-05-03 20:03 3.6K 
[   ]perl-catalystx-leakchecker-0.06-r0.apk2025-06-15 04:46 3.4K 
[   ]perl-catalystx-leakchecker-doc-0.06-r0.apk2025-06-15 04:46 3.5K 
[   ]perl-catalystx-profile-0.02-r0.apk2025-06-15 04:46 3.1K 
[   ]perl-catalystx-profile-doc-0.02-r0.apk2025-06-15 04:46 4.5K 
[   ]perl-catalystx-repl-0.04-r0.apk2025-05-03 20:03 3.3K 
[   ]perl-catalystx-repl-doc-0.04-r0.apk2025-05-03 20:03 3.6K 
[   ]perl-catalystx-simplelogin-0.21-r0.apk2025-05-07 07:38 11K 
[   ]perl-catalystx-simplelogin-doc-0.21-r0.apk2025-05-07 07:38 24K 
[   ]perl-cgi-expand-2.05-r4.apk2024-10-25 19:10 6.9K 
[   ]perl-cgi-expand-doc-2.05-r4.apk2024-10-25 19:10 6.2K 
[   ]perl-cgi-simple-1.281-r0.apk2025-03-12 16:12 56K 
[   ]perl-cgi-simple-doc-1.281-r0.apk2025-03-12 16:12 43K 
[   ]perl-cgi-struct-1.21-r0.apk2025-03-26 11:51 7.8K 
[   ]perl-cgi-struct-doc-1.21-r0.apk2025-03-26 11:51 6.8K 
[   ]perl-check-unitcheck-0.13-r2.apk2025-06-30 07:25 6.2K 
[   ]perl-check-unitcheck-doc-0.13-r2.apk2025-06-30 07:25 3.6K 
[   ]perl-class-accessor-grouped-0.10014-r2.apk2024-10-25 19:10 12K 
[   ]perl-class-accessor-grouped-doc-0.10014-r2.apk2024-10-25 19:10 7.5K 
[   ]perl-class-c3-0.35-r1.apk2024-10-25 19:10 9.5K 
[   ]perl-class-c3-adopt-next-0.14-r0.apk2025-03-26 11:51 5.1K 
[   ]perl-class-c3-adopt-next-doc-0.14-r0.apk2025-03-26 11:51 4.7K 
[   ]perl-class-c3-componentised-1.001002-r2.apk2024-10-25 19:10 5.5K 
[   ]perl-class-c3-componentised-doc-1.001002-r2.apk2024-10-25 19:10 5.3K 
[   ]perl-class-c3-doc-0.35-r1.apk2024-10-25 19:10 9.3K 
[   ]perl-class-inner-0.200001-r5.apk2024-10-25 19:10 3.9K 
[   ]perl-class-inner-doc-0.200001-r5.apk2024-10-25 19:10 4.1K 
[   ]perl-class-unload-0.11-r0.apk2025-06-04 18:18 2.6K 
[   ]perl-class-unload-doc-0.11-r0.apk2025-06-04 18:18 3.1K 
[   ]perl-cli-osprey-0.08-r0.apk2024-12-28 04:54 13K 
[   ]perl-cli-osprey-doc-0.08-r0.apk2024-12-28 04:54 12K 
[   ]perl-clipboard-0.32-r0.apk2025-05-18 15:45 10K 
[   ]perl-clipboard-doc-0.32-r0.apk2025-05-18 15:45 27K 
[   ]perl-color-ansi-util-0.165-r0.apk2024-10-25 19:10 7.3K 
[   ]perl-color-ansi-util-doc-0.165-r0.apk2024-10-25 19:10 5.2K 
[   ]perl-color-rgb-util-0.609-r0.apk2025-03-26 11:51 9.5K 
[   ]perl-color-rgb-util-doc-0.609-r0.apk2025-03-26 11:51 7.4K 
[   ]perl-conf-libconfig-1.0.3-r2.apk2025-06-30 07:25 23K 
[   ]perl-conf-libconfig-doc-1.0.3-r2.apk2025-06-30 07:25 5.5K 
[   ]perl-constant-defer-6-r5.apk2024-10-25 19:10 7.4K 
[   ]perl-constant-defer-doc-6-r5.apk2024-10-25 19:10 6.9K 
[   ]perl-constant-generate-0.17-r5.apk2024-10-25 19:10 8.8K 
[   ]perl-constant-generate-doc-0.17-r5.apk2024-10-25 19:10 7.0K 
[   ]perl-context-preserve-0.03-r4.apk2024-10-25 19:10 3.9K 
[   ]perl-context-preserve-doc-0.03-r4.apk2024-10-25 19:10 4.2K 
[   ]perl-cpan-changes-0.500004-r0.apk2024-10-25 19:10 14K 
[   ]perl-cpan-changes-doc-0.500004-r0.apk2024-10-25 19:10 18K 
[   ]perl-crypt-blowfish-2.14-r1.apk2025-06-30 07:25 13K 
[   ]perl-crypt-blowfish-doc-2.14-r1.apk2025-06-30 07:25 4.1K 
[   ]perl-crypt-random-seed-0.03-r0.apk2024-10-25 19:10 11K 
[   ]perl-crypt-random-seed-doc-0.03-r0.apk2024-10-25 19:10 8.8K 
[   ]perl-crypt-saltedhash-0.09-r5.apk2024-10-25 19:10 7.0K 
[   ]perl-crypt-saltedhash-doc-0.09-r5.apk2024-10-25 19:10 6.4K 
[   ]perl-css-inliner-4027-r0.apk2025-06-16 11:50 16K 
[   ]perl-css-inliner-doc-4027-r0.apk2025-06-16 11:50 9.4K 
[   ]perl-css-object-0.2.0-r0.apk2024-10-25 19:10 23K 
[   ]perl-css-object-doc-0.2.0-r0.apk2024-10-25 19:10 33K 
[   ]perl-daemon-control-0.001010-r2.apk2024-10-25 19:10 12K 
[   ]perl-daemon-control-doc-0.001010-r2.apk2024-10-25 19:10 8.3K 
[   ]perl-dancer-plugin-auth-extensible-1.00-r5.apk2024-10-25 19:10 15K 
[   ]perl-dancer-plugin-auth-extensible-doc-1.00-r5.apk2024-10-25 19:10 15K 
[   ]perl-dancer-plugin-dbic-0.2104-r5.apk2024-10-25 19:10 4.9K 
[   ]perl-dancer-plugin-dbic-doc-0.2104-r5.apk2024-10-25 19:10 5.4K 
[   ]perl-dancer-plugin-passphrase-2.0.1-r4.apk2024-10-25 19:10 9.7K 
[   ]perl-dancer-plugin-passphrase-doc-2.0.1-r4.apk2024-10-25 19:10 8.5K 
[   ]perl-dancer-session-cookie-0.30-r2.apk2024-10-25 19:10 5.5K 
[   ]perl-dancer-session-cookie-doc-0.30-r2.apk2024-10-25 19:10 4.2K 
[   ]perl-dancer2-1.1.2-r0.apk2024-12-28 04:54 163K 
[   ]perl-dancer2-doc-1.1.2-r0.apk2024-12-28 04:54 301K 
[   ]perl-data-binary-0.01-r0.apk2025-07-12 06:30 2.8K 
[   ]perl-data-binary-doc-0.01-r0.apk2025-07-12 06:30 3.1K 
[   ]perl-data-checks-0.10-r1.apk2025-06-30 07:25 22K 
[   ]perl-data-checks-doc-0.10-r1.apk2025-06-30 07:25 8.3K 
[   ]perl-data-clone-0.006-r1.apk2025-06-30 07:25 9.9K 
[   ]perl-data-clone-doc-0.006-r1.apk2025-06-30 07:25 4.5K 
[   ]perl-data-dump-streamer-2.42-r1.apk2025-06-30 07:25 50K 
[   ]perl-data-dump-streamer-doc-2.42-r1.apk2025-06-30 07:25 17K 
[   ]perl-data-section-0.200008-r0.apk2025-07-07 17:02 6.4K 
[   ]perl-data-section-doc-0.200008-r0.apk2025-07-07 17:02 5.6K 
[   ]perl-data-validate-domain-0.15-r0.apk2024-10-25 19:10 5.8K 
[   ]perl-data-validate-domain-doc-0.15-r0.apk2024-10-25 19:10 5.5K 
[   ]perl-data-validate-ip-0.31-r1.apk2024-10-25 19:10 8.8K 
[   ]perl-data-validate-ip-doc-0.31-r1.apk2024-10-25 19:10 5.9K 
[   ]perl-data-visitor-0.32-r0.apk2025-03-26 11:51 9.8K 
[   ]perl-data-visitor-doc-0.32-r0.apk2025-03-26 11:51 8.3K 
[   ]perl-database-async-0.019-r0.apk2024-10-25 19:10 23K 
[   ]perl-database-async-doc-0.019-r0.apk2024-10-25 19:10 29K 
[   ]perl-database-async-engine-postgresql-1.005-r0.apk2024-10-25 19:10 14K 
[   ]perl-database-async-engine-postgresql-doc-1.005-r0.apk2024-10-25 19:10 9.3K 
[   ]perl-date-range-1.41-r0.apk2025-07-08 16:53 3.8K 
[   ]perl-date-range-doc-1.41-r0.apk2025-07-08 16:53 4.0K 
[   ]perl-datetime-astro-1.04-r0.apk2025-07-23 04:04 35K 
[   ]perl-datetime-astro-doc-1.04-r0.apk2025-07-23 04:04 6.0K 
[   ]perl-datetime-format-atom-1.8.0-r0.apk2025-01-05 15:14 3.2K 
[   ]perl-datetime-format-atom-doc-1.8.0-r0.apk2025-01-05 15:14 3.8K 
[   ]perl-datetime-format-flexible-0.37-r0.apk2024-12-31 13:27 18K 
[   ]perl-datetime-format-flexible-doc-0.37-r0.apk2024-12-31 13:27 12K 
[   ]perl-datetime-format-rfc3339-1.10.0-r0.apk2025-01-05 15:14 4.4K 
[   ]perl-datetime-format-rfc3339-doc-1.10.0-r0.apk2025-01-05 15:14 4.1K 
[   ]perl-datetime-set-0.3900-r0.apk2025-07-23 04:04 20K 
[   ]perl-datetime-set-doc-0.3900-r0.apk2025-07-23 04:04 18K 
[   ]perl-datetime-timezone-alias-0.06-r0.apk2024-10-25 19:10 2.5K 
[   ]perl-datetime-timezone-alias-doc-0.06-r0.apk2024-10-25 19:10 7.6K 
[   ]perl-datetime-timezone-catalog-extend-0.3.3-r0.apk2024-10-25 19:10 12K 
[   ]perl-datetime-timezone-catalog-extend-doc-0.3.3-r0.apk2024-10-25 19:10 15K 
[   ]perl-dbicx-sugar-0.0200-r5.apk2024-10-25 19:10 5.9K 
[   ]perl-dbicx-sugar-doc-0.0200-r5.apk2024-10-25 19:10 5.3K 
[   ]perl-dbix-class-0.082844-r0.apk2025-01-16 17:26 355K 
[   ]perl-dbix-class-candy-0.005004-r0.apk2024-10-30 05:59 7.9K 
[   ]perl-dbix-class-candy-doc-0.005004-r0.apk2024-10-30 05:59 9.5K 
[   ]perl-dbix-class-cursor-cached-1.001004-r0.apk2025-06-09 16:31 3.2K 
[   ]perl-dbix-class-cursor-cached-doc-1.001004-r0.apk2025-06-09 16:31 3.0K 
[   ]perl-dbix-class-doc-0.082844-r0.apk2025-01-16 17:26 421K 
[   ]perl-dbix-class-helpers-2.037000-r0.apk2024-11-20 00:45 48K 
[   ]perl-dbix-class-helpers-doc-2.037000-r0.apk2024-11-20 00:45 121K 
[   ]perl-dbix-class-schema-loader-0.07053-r0.apk2025-06-09 16:31 97K 
[   ]perl-dbix-class-schema-loader-doc-0.07053-r0.apk2025-06-09 16:31 77K 
[   ]perl-dbix-connector-0.60-r0.apk2024-12-30 06:37 15K 
[   ]perl-dbix-connector-doc-0.60-r0.apk2024-12-30 06:37 22K 
[   ]perl-dbix-datasource-0.02-r5.apk2024-10-25 19:10 4.3K 
[   ]perl-dbix-datasource-doc-0.02-r5.apk2024-10-25 19:10 7.5K 
[   ]perl-dbix-introspector-0.001005-r4.apk2024-10-25 19:10 8.1K 
[   ]perl-dbix-introspector-doc-0.001005-r4.apk2024-10-25 19:10 8.5K 
[   ]perl-dbix-lite-0.36-r0.apk2024-12-30 06:37 18K 
[   ]perl-dbix-lite-doc-0.36-r0.apk2024-12-30 06:37 18K 
[   ]perl-devel-confess-0.009004-r0.apk2024-10-25 19:10 11K 
[   ]perl-devel-confess-doc-0.009004-r0.apk2024-10-25 19:10 6.7K 
[   ]perl-devel-findperl-0.016-r0.apk2025-06-08 16:02 4.7K 
[   ]perl-devel-findperl-doc-0.016-r0.apk2025-06-08 16:02 3.8K 
[   ]perl-devel-leak-0.03-r14.apk2025-06-30 07:25 7.1K 
[   ]perl-devel-leak-doc-0.03-r14.apk2025-06-30 07:25 3.3K 
[   ]perl-devel-nytprof-6.14-r1.apk2025-06-30 07:25 391K 
[   ]perl-devel-nytprof-doc-6.14-r1.apk2025-06-30 07:25 51K 
[   ]perl-devel-refcount-0.10-r2.apk2025-06-30 07:25 6.3K 
[   ]perl-devel-refcount-doc-0.10-r2.apk2025-06-30 07:25 4.3K 
[   ]perl-devel-repl-1.003029-r0.apk2025-05-03 20:03 28K 
[   ]perl-devel-repl-doc-1.003029-r0.apk2025-05-03 20:03 60K 
[   ]perl-devel-stacktrace-withlexicals-2.01-r0.apk2025-05-03 20:03 3.7K 
[   ]perl-devel-stacktrace-withlexicals-doc-2.01-r0.apk2025-05-03 20:03 3.4K 
[   ]perl-devel-trace-0.12-r0.apk2025-07-06 19:08 3.4K 
[   ]perl-devel-trace-doc-0.12-r0.apk2025-07-06 19:08 3.5K 
[   ]perl-digest-bcrypt-1.212-r1.apk2024-10-25 19:10 5.6K 
[   ]perl-digest-bcrypt-doc-1.212-r1.apk2024-10-25 19:10 5.2K 
[   ]perl-digest-crc-0.24-r2.apk2025-06-30 07:25 9.6K 
[   ]perl-digest-crc-doc-0.24-r2.apk2025-06-30 07:25 3.3K 
[   ]perl-dns-unbound-0.29-r2.apk2025-06-30 07:25 23K 
[   ]perl-dns-unbound-anyevent-0.29-r2.apk2025-06-30 07:25 2.3K 
[   ]perl-dns-unbound-asyncquery-promisexs-0.29-r2.apk2025-06-30 07:25 1.9K 
[   ]perl-dns-unbound-doc-0.29-r2.apk2025-06-30 07:25 15K 
[   ]perl-dns-unbound-ioasync-0.29-r2.apk2025-06-30 07:25 2.4K 
[   ]perl-dns-unbound-mojo-0.29-r2.apk2025-06-30 07:25 2.7K 
[   ]perl-email-abstract-3.010-r0.apk2024-10-25 19:10 7.6K 
[   ]perl-email-abstract-doc-3.010-r0.apk2024-10-25 19:10 13K 
[   ]perl-email-mime-attachment-stripper-1.317-r5.apk2024-10-25 19:10 4.0K 
[   ]perl-email-mime-attachment-stripper-doc-1.317-r5.apk2024-10-25 19:10 3.8K 
[   ]perl-email-reply-1.204-r5.apk2024-10-25 19:10 6.1K 
[   ]perl-email-reply-doc-1.204-r5.apk2024-10-25 19:10 4.8K 
[   ]perl-email-sender-2.601-r0.apk2025-04-04 09:43 25K 
[   ]perl-email-sender-doc-2.601-r0.apk2025-04-04 09:43 42K 
[   ]perl-encode-detect-1.01-r1.apk2025-06-30 07:25 72K 
[   ]perl-encode-detect-doc-1.01-r1.apk2025-06-30 07:25 4.8K 
[   ]perl-ev-hiredis-0.07-r3.apk2025-06-30 07:25 12K 
[   ]perl-ev-hiredis-doc-0.07-r3.apk2025-06-30 07:25 4.2K 
[   ]perl-expect-1.38-r0.apk2025-04-19 19:02 32K 
[   ]perl-expect-doc-1.38-r0.apk2025-04-19 19:02 20K 
[   ]perl-expect-simple-0.04-r0.apk2025-04-20 04:38 5.5K 
[   ]perl-expect-simple-doc-0.04-r0.apk2025-04-20 04:38 4.9K 
[   ]perl-extutils-makemaker-7.70-r2.apk2024-10-25 19:10 175K 
[   ]perl-extutils-xsbuilder-0.28-r5.apk2024-10-25 19:10 43K 
[   ]perl-extutils-xsbuilder-doc-0.28-r5.apk2024-10-25 19:10 21K 
[   ]perl-feed-find-0.13-r0.apk2024-10-25 19:10 3.9K 
[   ]perl-feed-find-doc-0.13-r0.apk2024-10-25 19:10 3.7K 
[   ]perl-ffi-c-0.15-r0.apk2024-10-25 19:10 20K 
[   ]perl-ffi-c-doc-0.15-r0.apk2024-10-25 19:10 29K 
[   ]perl-ffi-platypus-2.10-r1.apk2025-06-30 07:25 175K 
[   ]perl-ffi-platypus-doc-2.10-r1.apk2025-06-30 07:25 148K 
[   ]perl-ffi-platypus-type-enum-0.06-r0.apk2024-10-25 19:10 5.2K 
[   ]perl-ffi-platypus-type-enum-doc-0.06-r0.apk2024-10-25 19:10 5.2K 
[   ]perl-file-changenotify-0.31-r0.apk2025-03-26 11:51 12K 
[   ]perl-file-changenotify-doc-0.31-r0.apk2025-03-26 11:51 14K 
[   ]perl-file-find-object-0.3.9-r0.apk2025-07-12 06:30 9.2K 
[   ]perl-file-find-object-doc-0.3.9-r0.apk2025-07-12 06:30 13K 
[   ]perl-file-mmagic-xs-0.09008-r5.apk2025-06-30 07:25 29K 
[   ]perl-file-mmagic-xs-doc-0.09008-r5.apk2025-06-30 07:25 4.3K 
[   ]perl-file-rename-2.02-r0.apk2024-10-25 19:10 7.5K 
[   ]perl-file-rename-doc-2.02-r0.apk2024-10-25 19:10 12K 
[   ]perl-file-treecreate-0.0.1-r0.apk2025-07-12 06:30 4.0K 
[   ]perl-file-treecreate-doc-0.0.1-r0.apk2025-07-12 06:30 4.4K 
[   ]perl-finance-quote-1.66-r0.apk2025-07-12 13:43 106K 
[   ]perl-finance-quote-doc-1.66-r0.apk2025-07-12 13:43 88K 
[   ]perl-flowd-0.9.1-r11.apk2025-06-30 07:25 21K 
[   ]perl-flowd-doc-0.9.1-r11.apk2025-06-30 07:25 3.3K 
[   ]perl-freezethaw-0.5001-r2.apk2024-10-25 19:10 9.8K 
[   ]perl-freezethaw-doc-0.5001-r2.apk2024-10-25 19:10 5.7K 
[   ]perl-full-1.004-r0.apk2024-10-25 19:10 7.1K 
[   ]perl-full-doc-1.004-r0.apk2024-10-25 19:10 10K 
[   ]perl-future-asyncawait-hooks-0.02-r1.apk2025-06-30 07:25 8.2K 
[   ]perl-future-asyncawait-hooks-doc-0.02-r1.apk2025-06-30 07:25 3.3K 
[   ]perl-future-http-0.17-r0.apk2024-10-25 19:10 9.2K 
[   ]perl-future-http-doc-0.17-r0.apk2024-10-25 19:10 16K 
[   ]perl-future-q-0.120-r0.apk2024-10-25 19:10 9.6K 
[   ]perl-future-q-doc-0.120-r0.apk2024-10-25 19:10 9.1K 
[   ]perl-future-queue-0.52-r0.apk2024-10-25 19:10 4.1K 
[   ]perl-future-queue-doc-0.52-r0.apk2024-10-25 19:10 4.3K 
[   ]perl-gearman-2.004.015-r3.apk2024-10-25 19:10 27K 
[   ]perl-gearman-doc-2.004.015-r3.apk2024-10-25 19:10 20K 
[   ]perl-getopt-long-descriptive-0.116-r0.apk2024-12-31 09:39 15K 
[   ]perl-getopt-long-descriptive-doc-0.116-r0.apk2024-12-31 09:39 11K 
[   ]perl-getopt-tabular-0.3-r4.apk2024-10-25 19:10 23K 
[   ]perl-getopt-tabular-doc-0.3-r4.apk2024-10-25 19:10 17K 
[   ]perl-git-raw-0.90-r4.apk2025-06-30 07:25 161K 
[   ]perl-git-raw-doc-0.90-r4.apk2025-06-30 07:25 119K 
[   ]perl-git-repository-1.325-r0.apk2024-10-25 19:10 16K 
[   ]perl-git-repository-doc-1.325-r0.apk2024-10-25 19:10 32K 
[   ]perl-git-version-compare-1.005-r0.apk2024-10-25 19:10 5.4K 
[   ]perl-git-version-compare-doc-1.005-r0.apk2024-10-25 19:10 4.9K 
[   ]perl-glib-ex-objectbits-17-r0.apk2024-10-25 19:10 15K 
[   ]perl-glib-ex-objectbits-doc-17-r0.apk2024-10-25 19:10 22K 
[   ]perl-glib-object-introspection-0.051-r2.apk2025-06-30 07:25 59K 
[   ]perl-glib-object-introspection-doc-0.051-r2.apk2025-06-30 07:25 11K 
[   ]perl-graphql-client-0.605-r0.apk2024-10-25 19:10 7.1K 
[   ]perl-graphql-client-cli-0.605-r0.apk2024-10-25 19:10 7.8K 
[   ]perl-graphql-client-doc-0.605-r0.apk2024-10-25 19:10 14K 
[   ]perl-gtk2-1.24993-r7.apk2025-06-30 07:25 814K 
[   ]perl-gtk2-doc-1.24993-r7.apk2025-06-30 07:25 679K 
[   ]perl-gtk2-ex-listmodelconcat-11-r4.apk2024-10-25 19:10 13K 
[   ]perl-gtk2-ex-listmodelconcat-doc-11-r4.apk2024-10-25 19:10 7.3K 
[   ]perl-gtk2-ex-widgetbits-48-r3.apk2024-10-25 19:10 66K 
[   ]perl-gtk2-ex-widgetbits-doc-48-r3.apk2024-10-25 19:10 81K 
[   ]perl-gtk3-0.038-r1.apk2024-10-25 19:10 20K 
[   ]perl-gtk3-doc-0.038-r1.apk2024-10-25 19:10 9.2K 
[   ]perl-guard-1.023-r10.apk2025-06-30 07:25 8.7K 
[   ]perl-guard-doc-1.023-r10.apk2025-06-30 07:25 5.3K 
[   ]perl-hash-merge-extra-0.06-r0.apk2025-06-20 15:18 3.1K 
[   ]perl-hash-merge-extra-doc-0.06-r0.apk2025-06-20 15:18 3.4K 
[   ]perl-hash-ordered-0.014-r0.apk2024-10-25 19:10 9.8K 
[   ]perl-hash-ordered-doc-0.014-r0.apk2024-10-25 19:10 19K 
[   ]perl-html-formatexternal-26-r0.apk2025-06-07 14:55 17K 
[   ]perl-html-formatexternal-doc-26-r0.apk2025-06-07 14:55 23K 
[   ]perl-html-formhandler-0.40068-r0.apk2025-05-03 20:03 135K 
[   ]perl-html-formhandler-doc-0.40068-r0.apk2025-05-03 20:03 323K 
[   ]perl-html-gumbo-0.18-r2.apk2025-06-30 07:25 14K 
[   ]perl-html-gumbo-doc-0.18-r2.apk2025-06-30 07:25 5.4K 
[   ]perl-html-object-0.5.1-r0.apk2024-10-25 19:10 348K 
[   ]perl-html-object-doc-0.5.1-r0.apk2024-10-25 19:10 472K 
[   ]perl-html-query-0.09-r0.apk2025-06-16 11:50 14K 
[   ]perl-html-query-doc-0.09-r0.apk2025-06-16 11:50 10K 
[   ]perl-html-selector-xpath-0.28-r0.apk2024-10-25 19:10 6.2K 
[   ]perl-html-selector-xpath-doc-0.28-r0.apk2024-10-25 19:10 4.1K 
[   ]perl-html-tableextract-2.15-r4.apk2024-10-25 19:10 18K 
[   ]perl-html-tableextract-doc-2.15-r4.apk2024-10-25 19:10 9.9K 
[   ]perl-html-treebuilder-xpath-0.14-r0.apk2025-07-12 06:30 7.8K 
[   ]perl-html-treebuilder-xpath-doc-0.14-r0.apk2025-07-12 06:30 4.2K 
[   ]perl-http-headers-actionpack-0.09-r0.apk2025-06-13 18:17 18K 
[   ]perl-http-headers-actionpack-doc-0.09-r0.apk2025-06-13 18:17 40K 
[   ]perl-http-thin-0.006-r0.apk2024-10-25 19:10 3.1K 
[   ]perl-http-thin-doc-0.006-r0.apk2024-10-25 19:10 3.4K 
[   ]perl-http-xsheaders-0.400005-r2.apk2025-06-30 07:25 20K 
[   ]perl-http-xsheaders-doc-0.400005-r2.apk2025-06-30 07:25 6.4K 
[   ]perl-i18n-langinfo-wide-9-r4.apk2024-10-25 19:10 4.2K 
[   ]perl-i18n-langinfo-wide-doc-9-r4.apk2024-10-25 19:10 4.1K 
[   ]perl-imager-1.028-r1.apk2025-06-30 07:25 521K 
[   ]perl-imager-doc-1.028-r1.apk2025-06-30 07:25 286K 
[   ]perl-indirect-0.39-r2.apk2025-06-30 07:25 16K 
[   ]perl-indirect-doc-0.39-r2.apk2025-06-30 07:25 6.4K 
[   ]perl-io-handle-util-0.02-r0.apk2025-06-11 16:34 11K 
[   ]perl-io-handle-util-doc-0.02-r0.apk2025-06-11 16:34 9.8K 
[   ]perl-io-lambda-1.34-r0.apk2024-10-25 19:10 75K 
[   ]perl-io-lambda-doc-1.34-r0.apk2024-10-25 19:10 68K 
[   ]perl-io-sessiondata-1.03-r3.apk2024-10-25 19:10 5.8K 
[   ]perl-json-maybeutf8-2.000-r0.apk2024-10-25 19:10 3.1K 
[   ]perl-json-maybeutf8-doc-2.000-r0.apk2024-10-25 19:10 3.6K 
[   ]perl-json-validator-5.15-r0.apk2025-03-26 11:51 58K 
[   ]perl-json-validator-doc-5.15-r0.apk2025-03-26 11:51 34K 
[   ]perl-lexical-persistence-1.023-r0.apk2025-05-03 20:03 7.5K 
[   ]perl-lexical-persistence-doc-1.023-r0.apk2025-05-03 20:03 7.6K 
[   ]perl-lib-abs-0.95-r0.apk2024-10-25 19:10 3.9K 
[   ]perl-lib-abs-doc-0.95-r0.apk2024-10-25 19:10 4.0K 
[   ]perl-libapreq2-2.17-r3.apk2025-06-30 07:25 109K 
[   ]perl-libapreq2-dev-2.17-r3.apk2025-06-30 07:25 57K 
[   ]perl-libapreq2-doc-2.17-r3.apk2025-06-30 07:25 37K 
[   ]perl-libintl-perl-1.35-r0.apk2025-01-16 13:35 305K 
[   ]perl-libintl-perl-doc-1.35-r0.apk2025-01-16 13:35 571K 
[   ]perl-lingua-en-findnumber-1.32-r0.apk2025-06-08 16:02 3.3K 
[   ]perl-lingua-en-findnumber-doc-1.32-r0.apk2025-06-08 16:02 3.5K 
[   ]perl-lingua-en-inflect-number-1.12-r0.apk2025-06-08 16:02 3.2K 
[   ]perl-lingua-en-inflect-number-doc-1.12-r0.apk2025-06-08 16:02 3.6K 
[   ]perl-lingua-en-inflect-phrase-0.20-r0.apk2025-06-09 12:06 5.3K 
[   ]perl-lingua-en-inflect-phrase-doc-0.20-r0.apk2025-06-09 12:06 3.9K 
[   ]perl-lingua-en-number-isordinal-0.05-r0.apk2025-06-08 16:02 3.1K 
[   ]perl-lingua-en-number-isordinal-doc-0.05-r0.apk2025-06-08 16:02 3.4K 
[   ]perl-lingua-en-tagger-0.31-r0.apk2025-06-08 16:02 546K 
[   ]perl-lingua-en-tagger-doc-0.31-r0.apk2025-06-08 16:02 4.5K 
[   ]perl-lingua-en-words2nums-0.18-r0.apk2025-06-07 14:55 4.6K 
[   ]perl-lingua-en-words2nums-doc-0.18-r0.apk2025-06-07 14:55 3.5K 
[   ]perl-lingua-pt-stemmer-0.02-r0.apk2025-06-07 02:00 5.4K 
[   ]perl-lingua-pt-stemmer-doc-0.02-r0.apk2025-06-07 02:00 4.3K 
[   ]perl-lingua-stem-2.31-r0.apk2025-06-08 16:02 12K 
[   ]perl-lingua-stem-doc-2.31-r0.apk2025-06-08 16:02 34K 
[   ]perl-lingua-stem-fr-0.02-r0.apk2025-06-06 14:57 6.1K 
[   ]perl-lingua-stem-fr-doc-0.02-r0.apk2025-06-06 14:57 3.9K 
[   ]perl-lingua-stem-it-0.02-r0.apk2025-06-06 14:57 5.2K 
[   ]perl-lingua-stem-it-doc-0.02-r0.apk2025-06-06 14:57 3.5K 
[   ]perl-lingua-stem-ru-0.04-r0.apk2025-06-06 14:57 4.1K 
[   ]perl-lingua-stem-ru-doc-0.04-r0.apk2025-06-06 14:57 3.7K 
[   ]perl-lingua-stem-snowball-da-1.01-r0.apk2025-06-08 16:02 4.3K 
[   ]perl-lingua-stem-snowball-da-doc-1.01-r0.apk2025-06-08 16:02 3.0K 
[   ]perl-linux-pid-0.04-r15.apk2025-06-30 07:25 4.9K 
[   ]perl-linux-pid-doc-0.04-r15.apk2025-06-30 07:25 3.1K 
[   ]perl-list-binarysearch-0.25-r0.apk2024-10-25 19:10 10K 
[   ]perl-list-binarysearch-doc-0.25-r0.apk2024-10-25 19:10 12K 
[   ]perl-list-binarysearch-xs-0.09-r2.apk2025-06-30 07:25 12K 
[   ]perl-list-binarysearch-xs-doc-0.09-r2.apk2025-06-30 07:25 8.1K 
[   ]perl-list-keywords-0.11-r1.apk2025-06-30 07:25 15K 
[   ]perl-list-keywords-doc-0.11-r1.apk2025-06-30 07:25 5.6K 
[   ]perl-log-fu-0.31-r4.apk2024-10-25 19:10 11K 
[   ]perl-log-fu-doc-0.31-r4.apk2024-10-25 19:10 7.3K 
[   ]perl-log-message-0.08-r3.apk2024-10-25 19:10 11K 
[   ]perl-log-message-doc-0.08-r3.apk2024-10-25 19:10 12K 
[   ]perl-log-message-simple-0.10-r3.apk2024-10-25 19:10 4.2K 
[   ]perl-log-message-simple-doc-0.10-r3.apk2024-10-25 19:10 4.0K 
[   ]perl-lwp-online-1.08-r0.apk2024-10-25 19:10 6.2K 
[   ]perl-lwp-online-doc-1.08-r0.apk2024-10-25 19:10 5.7K 
[   ]perl-lwp-useragent-cached-0.08-r1.apk2024-10-25 19:10 6.4K 
[   ]perl-lwp-useragent-cached-doc-0.08-r1.apk2024-10-25 19:10 5.7K 
[   ]perl-mastodon-client-0.017-r0.apk2024-10-25 19:10 22K 
[   ]perl-mastodon-client-doc-0.017-r0.apk2024-10-25 19:10 33K 
[   ]perl-math-int64-0.57-r2.apk2025-06-30 07:25 27K 
[   ]perl-math-int64-doc-0.57-r2.apk2025-06-30 07:25 11K 
[   ]perl-math-libm-1.00-r15.apk2025-06-30 07:25 9.9K 
[   ]perl-math-libm-doc-1.00-r15.apk2025-06-30 07:25 3.2K 
[   ]perl-math-random-0.72-r1.apk2025-06-30 07:25 35K 
[   ]perl-math-random-doc-0.72-r1.apk2025-06-30 07:25 11K 
[   ]perl-math-random-isaac-xs-1.004-r9.apk2025-06-30 07:25 7.6K 
[   ]perl-math-random-isaac-xs-doc-1.004-r9.apk2025-06-30 07:25 3.8K 
[   ]perl-mce-1.901-r0.apk2025-01-03 04:21 134K 
[   ]perl-mce-doc-1.901-r0.apk2025-01-03 04:21 170K 
[   ]perl-memoize-expirelru-0.56-r0.apk2025-06-08 16:02 6.2K 
[   ]perl-memoize-expirelru-doc-0.56-r0.apk2025-06-08 16:02 3.8K 
[   ]perl-minion-10.31-r0.apk2024-10-25 19:10 1.5M 
[   ]perl-minion-backend-pg-10.31-r0.apk2024-10-25 19:10 9.6K 
[   ]perl-minion-backend-redis-0.003-r0.apk2024-10-25 19:10 11K 
[   ]perl-minion-backend-redis-doc-0.003-r0.apk2024-10-25 19:10 6.6K 
[   ]perl-minion-backend-sqlite-5.0.7-r0.apk2024-10-25 19:10 10K 
[   ]perl-minion-backend-sqlite-doc-5.0.7-r0.apk2024-10-25 19:10 6.8K 
[   ]perl-minion-doc-10.31-r0.apk2024-10-25 19:10 49K 
[   ]perl-module-build-prereqs-fromcpanfile-0.02-r0.apk2024-10-25 19:10 3.4K 
[   ]perl-module-build-prereqs-fromcpanfile-doc-0.02-r0.apk2024-10-25 19:10 3.8K 
[   ]perl-module-cpants-analyse-1.02-r0.apk2025-07-12 13:43 27K 
[   ]perl-module-cpants-analyse-doc-1.02-r0.apk2025-07-12 13:43 28K 
[   ]perl-module-generic-0.43.3-r0.apk2025-05-03 20:03 272K 
[   ]perl-module-generic-doc-0.43.3-r0.apk2025-05-03 20:03 214K 
[   ]perl-module-path-0.19-r0.apk2025-06-08 16:02 4.7K 
[   ]perl-module-path-doc-0.19-r0.apk2025-06-08 16:02 5.8K 
[   ]perl-mojo-reactor-ioasync-1.002-r0.apk2024-10-25 19:10 4.7K 
[   ]perl-mojo-reactor-ioasync-doc-1.002-r0.apk2024-10-25 19:10 4.4K 
[   ]perl-mojo-redis-3.29-r0.apk2024-10-25 19:10 25K 
[   ]perl-mojo-redis-doc-3.29-r0.apk2024-10-25 19:10 25K 
[   ]perl-mojo-sqlite-3.009-r0.apk2024-10-25 19:10 16K 
[   ]perl-mojo-sqlite-doc-3.009-r0.apk2024-10-25 19:10 19K 
[   ]perl-mojolicious-plugin-openapi-5.11-r0.apk2025-03-26 11:51 28K 
[   ]perl-mojolicious-plugin-openapi-doc-5.11-r0.apk2025-03-26 11:51 33K 
[   ]perl-moosex-configfromfile-0.14-r0.apk2025-03-26 11:51 4.1K 
[   ]perl-moosex-configfromfile-doc-0.14-r0.apk2025-03-26 11:51 4.2K 
[   ]perl-moosex-emulate-class-accessor-fast-0.009032-r0.apk2025-03-26 11:51 5.4K 
[   ]perl-moosex-emulate-class-accessor-fast-doc-0.009032-r0.apk2025-03-26 11:51 5.3K 
[   ]perl-moosex-getopt-0.78-r0.apk2025-03-26 11:51 14K 
[   ]perl-moosex-getopt-doc-0.78-r0.apk2025-03-26 11:51 25K 
[   ]perl-moosex-markasmethods-0.15-r0.apk2025-06-04 18:18 5.1K 
[   ]perl-moosex-markasmethods-doc-0.15-r0.apk2025-06-04 18:18 5.2K 
[   ]perl-moosex-methodattributes-0.32-r0.apk2025-03-26 11:51 8.6K 
[   ]perl-moosex-methodattributes-doc-0.32-r0.apk2025-03-26 11:51 22K 
[   ]perl-moosex-nonmoose-0.27-r1.apk2025-06-19 10:55 8.2K 
[   ]perl-moosex-nonmoose-doc-0.27-r1.apk2025-06-19 10:55 9.4K 
[   ]perl-moosex-object-pluggable-0.0014-r0.apk2025-05-03 20:03 6.0K 
[   ]perl-moosex-object-pluggable-doc-0.0014-r0.apk2025-05-03 20:03 5.5K 
[   ]perl-moosex-relatedclassroles-0.004-r0.apk2025-05-03 20:03 2.8K 
[   ]perl-moosex-relatedclassroles-doc-0.004-r0.apk2025-05-03 20:03 3.3K 
[   ]perl-moosex-role-parameterized-1.11-r0.apk2025-03-26 11:51 7.7K 
[   ]perl-moosex-role-parameterized-doc-1.11-r0.apk2025-03-26 11:51 24K 
[   ]perl-moosex-simpleconfig-0.11-r0.apk2025-03-26 11:51 3.8K 
[   ]perl-moosex-simpleconfig-doc-0.11-r0.apk2025-03-26 11:51 4.2K 
[   ]perl-moosex-traits-pluggable-0.12-r0.apk2025-05-03 20:03 4.9K 
[   ]perl-moosex-traits-pluggable-doc-0.12-r0.apk2025-05-03 20:03 4.2K 
[   ]perl-moosex-types-loadableclass-0.016-r0.apk2025-05-03 20:03 3.2K 
[   ]perl-moosex-types-loadableclass-doc-0.016-r0.apk2025-05-03 20:03 3.7K 
[   ]perl-moosex-types-path-tiny-0.012-r0.apk2025-03-26 11:51 4.0K 
[   ]perl-moosex-types-path-tiny-doc-0.012-r0.apk2025-03-26 11:51 4.1K 
[   ]perl-moosex-types-stringlike-0.003-r0.apk2025-03-26 11:51 3.0K 
[   ]perl-moosex-types-stringlike-doc-0.003-r0.apk2025-03-26 11:51 3.6K 
[   ]perl-moox-typetiny-0.002003-r0.apk2025-06-09 12:05 3.6K 
[   ]perl-moox-typetiny-doc-0.002003-r0.apk2025-06-09 12:05 3.2K 
[   ]perl-multidimensional-0.014-r1.apk2025-06-30 07:25 5.0K 
[   ]perl-multidimensional-doc-0.014-r1.apk2025-06-30 07:25 3.2K 
[   ]perl-musicbrainz-discid-0.06-r2.apk2025-06-30 07:25 8.9K 
[   ]perl-musicbrainz-discid-doc-0.06-r2.apk2025-06-30 07:25 4.4K 
[   ]perl-net-address-ip-local-0.1.2-r0.apk2024-10-25 19:10 3.4K 
[   ]perl-net-address-ip-local-doc-0.1.2-r0.apk2024-10-25 19:10 3.5K 
[   ]perl-net-amqp-rabbitmq-2.40012-r1.apk2025-06-30 07:25 75K 
[   ]perl-net-amqp-rabbitmq-doc-2.40012-r1.apk2025-06-30 07:25 9.8K 
[   ]perl-net-async-redis-6.006-r0.apk2024-12-11 16:22 58K 
[   ]perl-net-async-redis-doc-6.006-r0.apk2024-12-11 16:22 66K 
[   ]perl-net-async-redis-xs-1.001-r2.apk2025-06-30 07:25 9.1K 
[   ]perl-net-async-redis-xs-doc-1.001-r2.apk2025-06-30 07:25 5.4K 
[   ]perl-net-curl-0.57-r1.apk2025-06-30 07:25 61K 
[   ]perl-net-curl-doc-0.57-r1.apk2025-06-30 07:25 39K 
[   ]perl-net-curl-promiser-0.20-r0.apk2024-10-25 19:10 8.9K 
[   ]perl-net-curl-promiser-anyevent-0.20-r0.apk2024-10-25 19:10 2.7K 
[   ]perl-net-curl-promiser-doc-0.20-r0.apk2024-10-25 19:10 12K 
[   ]perl-net-curl-promiser-ioasync-0.20-r0.apk2024-10-25 19:10 3.0K 
[   ]perl-net-curl-promiser-mojo-0.20-r0.apk2024-10-25 19:10 3.1K 
[   ]perl-net-idn-encode-2.500-r2.apk2025-06-30 07:25 84K 
[   ]perl-net-idn-encode-doc-2.500-r2.apk2025-06-30 07:25 22K 
[   ]perl-net-irr-0.10-r0.apk2024-10-25 19:10 5.5K 
[   ]perl-net-irr-doc-0.10-r0.apk2024-10-25 19:10 5.2K 
[   ]perl-net-jabber-2.0-r0.apk2024-10-25 19:10 51K 
[   ]perl-net-jabber-bot-2.1.7-r0.apk2024-10-25 19:10 13K 
[   ]perl-net-jabber-bot-doc-2.1.7-r0.apk2024-10-25 19:10 7.6K 
[   ]perl-net-jabber-doc-2.0-r0.apk2024-10-25 19:10 48K 
[   ]perl-net-netmask-2.0003-r0.apk2025-05-18 04:10 14K 
[   ]perl-net-netmask-doc-2.0003-r0.apk2025-05-18 04:10 8.6K 
[   ]perl-net-patricia-1.22-r13.apk2025-06-30 07:25 21K 
[   ]perl-net-patricia-doc-1.22-r13.apk2025-06-30 07:25 6.1K 
[   ]perl-net-xmpp-1.05-r0.apk2024-10-25 19:10 58K 
[   ]perl-net-xmpp-doc-1.05-r0.apk2024-10-25 19:10 44K 
[   ]perl-netaddr-mac-0.98-r1.apk2024-10-25 19:10 11K 
[   ]perl-netaddr-mac-doc-0.98-r1.apk2024-10-25 19:10 8.0K 
[   ]perl-nice-try-1.3.17-r0.apk2025-07-23 04:04 28K 
[   ]perl-nice-try-doc-1.3.17-r0.apk2025-07-23 04:04 13K 
[   ]perl-number-format-1.76-r1.apk2024-10-25 19:10 15K 
[   ]perl-number-format-doc-1.76-r1.apk2024-10-25 19:10 9.0K 
[   ]perl-number-misc-1.2-r5.apk2024-10-25 19:10 5.2K 
[   ]perl-number-misc-doc-1.2-r5.apk2024-10-25 19:10 4.4K 
[   ]perl-number-tolerant-1.710-r0.apk2024-10-25 19:10 15K 
[   ]perl-number-tolerant-doc-1.710-r0.apk2024-10-25 19:10 26K 
[   ]perl-object-array-0.060-r0.apk2024-10-25 19:10 5.7K 
[   ]perl-object-array-doc-0.060-r0.apk2024-10-25 19:10 7.0K 
[   ]perl-object-pad-fieldattr-checked-0.12-r1.apk2025-06-30 07:25 8.6K 
[   ]perl-object-pad-fieldattr-checked-doc-0.12-r1.apk2025-06-30 07:25 4.5K 
[   ]perl-object-signature-1.08-r0.apk2025-04-04 11:56 3.7K 
[   ]perl-object-signature-doc-1.08-r0.apk2025-04-04 11:56 5.4K 
[   ]perl-openapi-client-1.07-r0.apk2024-10-25 19:10 8.6K 
[   ]perl-openapi-client-doc-1.07-r0.apk2024-10-25 19:10 7.4K 
[   ]perl-opentracing-1.006-r0.apk2024-10-25 19:10 18K 
[   ]perl-opentracing-doc-1.006-r0.apk2024-10-25 19:10 33K 
[   ]perl-pango-1.227-r12.apk2025-06-30 07:25 76K 
[   ]perl-pango-doc-1.227-r12.apk2025-06-30 07:25 82K 
[   ]perl-parse-distname-0.05-r0.apk2025-07-12 06:30 5.5K 
[   ]perl-parse-distname-doc-0.05-r0.apk2025-07-12 06:30 4.4K 
[   ]perl-path-dispatcher-1.08-r0.apk2025-06-09 12:05 14K 
[   ]perl-path-dispatcher-doc-1.08-r0.apk2025-06-09 12:05 38K 
[   ]perl-path-iter-0.2-r3.apk2024-10-25 19:10 5.2K 
[   ]perl-path-iter-doc-0.2-r3.apk2024-10-25 19:10 5.2K 
[   ]perl-perlio-locale-0.10-r13.apk2025-06-30 07:25 4.7K 
[   ]perl-perlio-locale-doc-0.10-r13.apk2025-06-30 07:25 3.1K 
[   ]perl-plack-middleware-expires-0.06-r3.apk2024-10-25 19:10 3.9K 
[   ]perl-plack-middleware-expires-doc-0.06-r3.apk2024-10-25 19:10 3.3K 
[   ]perl-plack-middleware-fixmissingbodyinredirect-0.12-r0.apk2024-12-26 09:36 3.1K 
[   ]perl-plack-middleware-fixmissingbodyinredirect-doc-0.12-r0.apk2024-12-26 09:36 3.1K 
[   ]perl-plack-middleware-methodoverride-0.20-r0.apk2025-03-26 11:51 3.6K 
[   ]perl-plack-middleware-methodoverride-doc-0.20-r0.apk2025-03-26 11:51 4.0K 
[   ]perl-plack-middleware-removeredundantbody-0.09-r0.apk2024-12-27 14:02 2.5K 
[   ]perl-plack-middleware-removeredundantbody-doc-0.09-r0.apk2024-12-27 14:02 3.1K 
[   ]perl-plack-middleware-reverseproxy-0.16-r2.apk2024-10-25 19:10 3.2K 
[   ]perl-plack-middleware-reverseproxy-doc-0.16-r2.apk2024-10-25 19:10 3.1K 
[   ]perl-plack-test-externalserver-0.02-r0.apk2025-03-26 11:51 2.8K 
[   ]perl-plack-test-externalserver-doc-0.02-r0.apk2025-03-26 11:51 3.1K 
[   ]perl-pod-cpandoc-0.16-r6.apk2024-10-25 19:10 4.6K 
[   ]perl-pod-cpandoc-doc-0.16-r6.apk2024-10-25 19:10 4.9K 
[   ]perl-pod-tidy-0.10-r1.apk2024-10-25 19:10 10K 
[   ]perl-pod-tidy-doc-0.10-r1.apk2024-10-25 19:10 11K 
[   ]perl-power-tools-1.052-r0.apk2025-07-23 04:04 366K 
[   ]perl-power-tools-doc-1.052-r0.apk2025-07-23 04:04 247K 
[   ]perl-ppi-xs-0.910-r2.apk2025-06-30 07:25 5.9K 
[   ]perl-ppi-xs-doc-0.910-r2.apk2025-06-30 07:25 3.4K 
[   ]perl-prereqscanner-notquitelite-0.9917-r0.apk2025-07-12 13:43 41K 
[   ]perl-prereqscanner-notquitelite-doc-0.9917-r0.apk2025-07-12 13:43 49K 
[   ]perl-proc-guard-0.07-r4.apk2024-10-25 19:10 3.7K 
[   ]perl-proc-guard-doc-0.07-r4.apk2024-10-25 19:10 3.5K 
[   ]perl-promise-es6-0.28-r0.apk2024-10-25 19:10 11K 
[   ]perl-promise-es6-anyevent-0.28-r0.apk2024-10-25 19:10 2.5K 
[   ]perl-promise-es6-doc-0.28-r0.apk2024-10-25 19:10 12K 
[   ]perl-promise-es6-future-0.28-r0.apk2024-10-25 19:10 2.3K 
[   ]perl-promise-es6-io-async-0.28-r0.apk2024-10-25 19:10 3.0K 
[   ]perl-promise-es6-mojo-ioloop-0.28-r0.apk2024-10-25 19:10 2.6K 
[   ]perl-promise-me-0.5.0-r0.apk2024-10-25 19:10 26K 
[   ]perl-promise-me-doc-0.5.0-r0.apk2024-10-25 19:10 12K 
[   ]perl-promise-xs-0.20-r2.apk2025-06-30 07:25 24K 
[   ]perl-promise-xs-doc-0.20-r2.apk2025-06-30 07:25 8.8K 
[   ]perl-protocol-database-postgresql-2.001-r0.apk2024-10-25 19:10 19K 
[   ]perl-protocol-database-postgresql-doc-2.001-r0.apk2024-10-25 19:10 38K 
[   ]perl-protocol-redis-1.0021-r0.apk2024-10-25 19:10 5.6K 
[   ]perl-protocol-redis-doc-1.0021-r0.apk2024-10-25 19:10 5.0K 
[   ]perl-protocol-redis-faster-0.003-r0.apk2024-10-25 19:10 3.4K 
[   ]perl-protocol-redis-faster-doc-0.003-r0.apk2024-10-25 19:10 3.3K 
[   ]perl-ref-util-xs-0.117-r9.apk2025-06-30 07:25 9.8K 
[   ]perl-ref-util-xs-doc-0.117-r9.apk2025-06-30 07:25 3.5K 
[   ]perl-regexp-trie-0.02-r0.apk2025-07-12 07:22 3.0K 
[   ]perl-regexp-trie-doc-0.02-r0.apk2025-07-12 07:22 3.3K 
[   ]perl-role-eventemitter-0.003-r0.apk2024-10-25 19:10 3.7K 
[   ]perl-role-eventemitter-doc-0.003-r0.apk2024-10-25 19:10 4.0K 
[   ]perl-rxperl-6.29.8-r0.apk2024-10-25 19:10 26K 
[   ]perl-rxperl-anyevent-6.8.1-r0.apk2024-10-25 19:10 2.7K 
[   ]perl-rxperl-anyevent-doc-6.8.1-r0.apk2024-10-25 19:10 9.0K 
[   ]perl-rxperl-doc-6.29.8-r0.apk2024-10-25 19:10 23K 
[   ]perl-rxperl-ioasync-6.9.1-r0.apk2024-10-25 19:10 2.8K 
[   ]perl-rxperl-ioasync-doc-6.9.1-r0.apk2024-10-25 19:10 9.0K 
[   ]perl-rxperl-mojo-6.8.2-r0.apk2024-10-25 19:10 2.8K 
[   ]perl-rxperl-mojo-doc-6.8.2-r0.apk2024-10-25 19:10 9.1K 
[   ]perl-ryu-4.001-r0.apk2024-10-25 19:10 26K 
[   ]perl-ryu-async-0.020-r0.apk2024-10-25 19:10 7.5K 
[   ]perl-ryu-async-doc-0.020-r0.apk2024-10-25 19:10 12K 
[   ]perl-ryu-doc-4.001-r0.apk2024-10-25 19:10 35K 
[   ]perl-scalar-readonly-0.03-r2.apk2025-06-30 07:25 5.5K 
[   ]perl-scalar-readonly-doc-0.03-r2.apk2025-06-30 07:25 3.4K 
[   ]perl-session-storage-secure-1.000-r2.apk2024-10-25 19:10 8.8K 
[   ]perl-session-storage-secure-doc-1.000-r2.apk2024-10-25 19:10 7.4K 
[   ]perl-set-infinite-0.65-r0.apk2025-07-23 04:04 23K 
[   ]perl-set-infinite-doc-0.65-r0.apk2025-07-23 04:04 11K 
[   ]perl-shell-config-generate-0.34-r0.apk2025-06-08 16:02 7.8K 
[   ]perl-shell-config-generate-doc-0.34-r0.apk2025-06-08 16:02 6.8K 
[   ]perl-shell-guess-0.10-r0.apk2025-06-07 14:55 6.0K 
[   ]perl-shell-guess-doc-0.10-r0.apk2025-06-07 14:55 5.8K 
[   ]perl-signature-attribute-checked-0.06-r1.apk2025-06-30 07:25 8.0K 
[   ]perl-signature-attribute-checked-doc-0.06-r1.apk2025-06-30 07:25 4.6K 
[   ]perl-smart-comments-1.06-r0.apk2025-07-07 17:02 12K 
[   ]perl-smart-comments-doc-1.06-r0.apk2025-07-07 17:02 8.5K 
[   ]perl-snmp-5.0404-r14.apk2025-06-30 07:25 66K 
[   ]perl-snmp-doc-5.0404-r14.apk2025-06-30 07:25 14K 
[   ]perl-snmp-info-3.972002-r0.apk2024-10-30 05:59 333K 
[   ]perl-snmp-info-doc-3.972002-r0.apk2024-10-30 05:59 379K 
[   ]perl-snowball-norwegian-1.2-r0.apk2025-06-08 16:02 5.3K 
[   ]perl-snowball-norwegian-doc-1.2-r0.apk2025-06-08 16:02 3.9K 
[   ]perl-snowball-swedish-1.2-r0.apk2025-06-08 16:02 5.2K 
[   ]perl-snowball-swedish-doc-1.2-r0.apk2025-06-08 16:02 3.9K 
[   ]perl-soap-lite-1.27-r5.apk2024-10-25 19:10 110K 
[   ]perl-soap-lite-doc-1.27-r5.apk2024-10-25 19:10 90K 
[   ]perl-software-license-0.104007-r0.apk2025-07-08 16:53 107K 
[   ]perl-software-license-doc-0.104007-r0.apk2025-07-08 16:53 50K 
[   ]perl-sort-naturally-1.03-r4.apk2024-10-25 19:10 8.7K 
[   ]perl-sort-naturally-doc-1.03-r4.apk2024-10-25 19:10 5.5K 
[   ]perl-sort-versions-1.62-r0.apk2024-10-25 19:10 3.7K 
[   ]perl-sort-versions-doc-1.62-r0.apk2024-10-25 19:10 4.1K 
[   ]perl-sql-abstract-classic-1.91-r1.apk2024-10-25 19:10 30K 
[   ]perl-sql-abstract-classic-doc-1.91-r1.apk2024-10-25 19:10 20K 
[   ]perl-sql-abstract-more-1.44-r0.apk2025-07-14 07:46 28K 
[   ]perl-sql-abstract-more-doc-1.44-r0.apk2025-07-14 07:46 17K 
[   ]perl-starman-0.4017-r0.apk2024-10-25 19:10 13K 
[   ]perl-starman-doc-0.4017-r0.apk2024-10-25 19:10 10K 
[   ]perl-statistics-basic-1.6611-r0.apk2024-10-25 19:10 9.2K 
[   ]perl-statistics-basic-doc-1.6611-r0.apk2024-10-25 19:10 50K 
[   ]perl-statistics-descriptive-3.0801-r0.apk2024-10-25 19:10 30K 
[   ]perl-statistics-descriptive-doc-3.0801-r0.apk2024-10-25 19:10 38K 
[   ]perl-storable-improved-0.1.3-r0.apk2024-10-25 19:10 6.7K 
[   ]perl-storable-improved-doc-0.1.3-r0.apk2024-10-25 19:10 6.9K 
[   ]perl-string-camelcase-0.04-r2.apk2024-10-25 19:10 3.2K 
[   ]perl-string-camelcase-doc-0.04-r2.apk2024-10-25 19:10 3.5K 
[   ]perl-string-compare-constanttime-0.321-r7.apk2025-06-30 07:25 7.5K 
[   ]perl-string-compare-constanttime-doc-0.321-r7.apk2025-06-30 07:25 5.3K 
[   ]perl-string-crc32-2.100-r5.apk2025-06-30 07:25 7.2K 
[   ]perl-string-crc32-doc-2.100-r5.apk2025-06-30 07:25 3.4K 
[   ]perl-string-escape-2010.002-r0.apk2025-04-02 17:03 8.9K 
[   ]perl-string-escape-doc-2010.002-r0.apk2025-04-02 17:03 7.8K 
[   ]perl-string-random-0.32-r2.apk2024-10-25 19:10 7.9K 
[   ]perl-string-random-doc-0.32-r2.apk2024-10-25 19:10 6.2K 
[   ]perl-string-toidentifier-en-0.12-r0.apk2025-06-09 12:06 5.4K 
[   ]perl-string-toidentifier-en-doc-0.12-r0.apk2025-06-09 12:06 5.6K 
[   ]perl-syntax-keyword-match-0.15-r1.apk2025-06-30 07:25 14K 
[   ]perl-syntax-keyword-match-doc-0.15-r1.apk2025-06-30 07:25 7.8K 
[   ]perl-syntax-operator-equ-0.10-r1.apk2025-06-30 07:25 8.3K 
[   ]perl-syntax-operator-equ-doc-0.10-r1.apk2025-06-30 07:25 6.6K 
[   ]perl-syntax-operator-in-0.10-r1.apk2025-06-30 07:25 9.7K 
[   ]perl-syntax-operator-in-doc-0.10-r1.apk2025-06-30 07:25 5.9K 
[   ]perl-sys-syscall-0.25-r10.apk2024-10-25 19:10 5.4K 
[   ]perl-sys-syscall-doc-0.25-r10.apk2024-10-25 19:10 3.7K 
[   ]perl-sys-virt-11.1.0-r1.apk2025-06-30 07:25 186K 
[   ]perl-sys-virt-doc-11.1.0-r1.apk2025-06-30 07:25 100K 
[   ]perl-system-command-1.122-r0.apk2024-10-25 19:10 12K 
[   ]perl-system-command-doc-1.122-r0.apk2024-10-25 19:10 10K 
[   ]perl-task-catalyst-4.02-r0.apk2025-06-15 04:46 3.0K 
[   ]perl-task-catalyst-doc-4.02-r0.apk2025-06-15 04:46 3.7K 
[   ]perl-template-plugin-csv-0.04-r3.apk2024-10-25 19:10 2.7K 
[   ]perl-template-plugin-csv-doc-0.04-r3.apk2024-10-25 19:10 3.0K 
[   ]perl-template-plugin-number-format-1.06-r4.apk2024-10-25 19:10 4.9K 
[   ]perl-template-plugin-number-format-doc-1.06-r4.apk2024-10-25 19:10 4.4K 
[   ]perl-template-timer-1.00-r0.apk2025-04-13 20:28 3.4K 
[   ]perl-template-timer-doc-1.00-r0.apk2025-04-13 20:28 3.7K 
[   ]perl-template-tiny-1.16-r0.apk2025-07-24 12:42 5.5K 
[   ]perl-template-tiny-doc-1.16-r0.apk2025-07-24 12:42 5.0K 
[   ]perl-term-size-0.211-r5.apk2025-06-30 07:25 5.9K 
[   ]perl-term-size-doc-0.211-r5.apk2025-06-30 07:25 3.8K 
[   ]perl-term-ui-0.50-r1.apk2024-10-25 19:10 10K 
[   ]perl-term-ui-doc-0.50-r1.apk2024-10-25 19:10 8.5K 
[   ]perl-test-api-0.010-r2.apk2024-10-25 19:10 5.1K 
[   ]perl-test-api-doc-0.010-r2.apk2024-10-25 19:10 4.2K 
[   ]perl-test-class-tiny-0.03-r0.apk2024-10-25 19:10 5.9K 
[   ]perl-test-class-tiny-doc-0.03-r0.apk2024-10-25 19:10 5.4K 
[   ]perl-test-describeme-0.004-r0.apk2024-10-25 19:10 3.6K 
[   ]perl-test-describeme-doc-0.004-r0.apk2024-10-25 19:10 4.2K 
[   ]perl-test-distribution-2.00-r1.apk2024-10-25 19:10 7.8K 
[   ]perl-test-distribution-doc-2.00-r1.apk2024-10-25 19:10 6.1K 
[   ]perl-test-expander-2.5.1-r0.apk2024-10-25 19:10 7.1K 
[   ]perl-test-expander-doc-2.5.1-r0.apk2024-10-25 19:10 20K 
[   ]perl-test-expect-0.34-r0.apk2025-05-03 20:03 3.5K 
[   ]perl-test-expect-doc-0.34-r0.apk2025-05-03 20:03 3.6K 
[   ]perl-test-file-1.995-r0.apk2025-04-19 17:55 11K 
[   ]perl-test-file-doc-1.995-r0.apk2025-04-19 17:55 6.8K 
[   ]perl-test-files-0.26-r0.apk2024-10-25 19:10 6.7K 
[   ]perl-test-files-doc-0.26-r0.apk2024-10-25 19:10 15K 
[   ]perl-test-kwalitee-1.28-r0.apk2025-07-12 13:43 6.4K 
[   ]perl-test-kwalitee-doc-1.28-r0.apk2025-07-12 13:43 6.9K 
[   ]perl-test-lwp-useragent-0.036-r0.apk2024-10-25 19:10 9.8K 
[   ]perl-test-lwp-useragent-doc-0.036-r0.apk2024-10-25 19:10 8.4K 
[   ]perl-test-memorygrowth-0.05-r0.apk2024-10-25 19:10 6.4K 
[   ]perl-test-memorygrowth-doc-0.05-r0.apk2024-10-25 19:10 5.3K 
[   ]perl-test-modern-0.013-r3.apk2024-10-25 19:10 15K 
[   ]perl-test-modern-doc-0.013-r3.apk2024-10-25 19:10 9.9K 
[   ]perl-test-perl-critic-1.04-r0.apk2025-07-12 06:30 6.8K 
[   ]perl-test-perl-critic-doc-1.04-r0.apk2025-07-12 06:30 6.4K 
[   ]perl-test-randomresult-0.001-r0.apk2024-10-25 19:10 3.5K 
[   ]perl-test-randomresult-doc-0.001-r0.apk2024-10-25 19:10 3.7K 
[   ]perl-test-redisserver-0.23-r0.apk2024-10-25 19:10 5.0K 
[   ]perl-test-redisserver-doc-0.23-r0.apk2024-10-25 19:10 4.1K 
[   ]perl-test-requires-git-1.008-r0.apk2024-10-25 19:10 4.8K 
[   ]perl-test-requires-git-doc-1.008-r0.apk2024-10-25 19:10 4.4K 
[   ]perl-test-roo-1.004-r3.apk2024-10-25 19:10 12K 
[   ]perl-test-roo-doc-1.004-r3.apk2024-10-25 19:10 15K 
[   ]perl-test-settings-0.003-r0.apk2024-10-25 19:10 4.9K 
[   ]perl-test-settings-doc-0.003-r0.apk2024-10-25 19:10 6.0K 
[   ]perl-test-timer-2.12-r2.apk2024-10-25 19:10 8.9K 
[   ]perl-test-timer-doc-2.12-r2.apk2024-10-25 19:10 8.4K 
[   ]perl-test-toolbox-0.4-r5.apk2024-10-25 19:10 9.9K 
[   ]perl-test-toolbox-doc-0.4-r5.apk2024-10-25 19:10 6.2K 
[   ]perl-test-trap-0.3.5-r1.apk2024-10-25 19:10 20K 
[   ]perl-test-trap-doc-0.3.5-r1.apk2024-10-25 19:10 20K 
[   ]perl-test-unit-0.27-r0.apk2024-10-25 19:10 37K 
[   ]perl-test-unit-doc-0.27-r0.apk2024-10-25 19:10 48K 
[   ]perl-test-useallmodules-0.17-r1.apk2024-10-25 19:10 3.8K 
[   ]perl-test-useallmodules-doc-0.17-r1.apk2024-10-25 19:10 3.9K 
[   ]perl-test-utf8-1.03-r0.apk2024-11-20 00:45 5.6K 
[   ]perl-test-utf8-doc-1.03-r0.apk2024-11-20 00:45 4.9K 
[   ]perl-test-www-mechanize-1.60-r0.apk2025-04-13 20:28 15K 
[   ]perl-test-www-mechanize-catalyst-0.62-r0.apk2025-04-20 04:32 7.4K 
[   ]perl-test-www-mechanize-catalyst-doc-0.62-r0.apk2025-04-20 04:32 6.2K 
[   ]perl-test-www-mechanize-doc-1.60-r0.apk2025-04-13 20:28 10K 
[   ]perl-test2-tools-explain-0.02-r0.apk2024-10-25 19:10 3.8K 
[   ]perl-test2-tools-explain-doc-0.02-r0.apk2024-10-25 19:10 4.4K 
[   ]perl-text-brew-0.02-r5.apk2024-10-25 19:10 4.5K 
[   ]perl-text-brew-doc-0.02-r5.apk2024-10-25 19:10 4.1K 
[   ]perl-text-german-0.06-r0.apk2025-06-07 02:00 13K 
[   ]perl-text-german-doc-0.06-r0.apk2025-06-07 02:00 3.0K 
[   ]perl-text-simpletable-2.07-r0.apk2025-03-26 11:51 4.5K 
[   ]perl-text-simpletable-doc-2.07-r0.apk2025-03-26 11:51 3.5K 
[   ]perl-text-table-any-0.117-r0.apk2024-10-25 19:10 8.1K 
[   ]perl-text-table-any-doc-0.117-r0.apk2024-10-25 19:10 6.7K 
[   ]perl-text-table-sprintf-0.008-r0.apk2024-10-25 19:10 5.4K 
[   ]perl-text-table-sprintf-doc-0.008-r0.apk2024-10-25 19:10 5.2K 
[   ]perl-text-worddiff-0.09-r0.apk2025-06-09 16:31 10K 
[   ]perl-text-worddiff-doc-0.09-r0.apk2025-06-09 16:31 14K 
[   ]perl-throwable-1.001-r1.apk2024-10-25 19:10 6.2K 
[   ]perl-throwable-doc-1.001-r1.apk2024-10-25 19:10 8.0K 
[   ]perl-tickit-widget-choice-0.07-r0.apk2024-10-25 19:10 3.9K 
[   ]perl-tickit-widget-choice-doc-0.07-r0.apk2024-10-25 19:10 3.4K 
[   ]perl-tickit-widget-entry-plugin-completion-0.02-r0.apk2024-10-25 19:10 4.6K 
[   ]perl-tickit-widget-entry-plugin-completion-doc-0.02-r0.apk2024-10-25 19:10 3.8K 
[   ]perl-tickit-widget-floatbox-0.11-r0.apk2024-10-25 19:10 4.7K 
[   ]perl-tickit-widget-floatbox-doc-0.11-r0.apk2024-10-25 19:10 4.2K 
[   ]perl-tickit-widget-menu-0.16-r0.apk2024-10-25 19:10 7.2K 
[   ]perl-tickit-widget-menu-doc-0.16-r0.apk2024-10-25 19:10 6.9K 
[   ]perl-tickit-widget-scrollbox-0.12-r0.apk2024-10-25 19:10 8.0K 
[   ]perl-tickit-widget-scrollbox-doc-0.12-r0.apk2024-10-25 19:10 6.5K 
[   ]perl-tie-toobject-0.03-r0.apk2025-03-26 11:51 2.6K 
[   ]perl-tie-toobject-doc-0.03-r0.apk2025-03-26 11:51 3.2K 
[   ]perl-time-moment-0.44-r1.apk2025-06-30 07:25 39K 
[   ]perl-time-moment-doc-0.44-r1.apk2025-06-30 07:25 31K 
[   ]perl-time-moment-role-strptime-0.001-r0.apk2024-10-25 19:10 2.8K 
[   ]perl-time-moment-role-strptime-doc-0.001-r0.apk2024-10-25 19:10 3.4K 
[   ]perl-time-moment-role-timezone-1.000-r0.apk2024-10-25 19:10 3.6K 
[   ]perl-time-moment-role-timezone-doc-1.000-r0.apk2024-10-25 19:10 4.0K 
[   ]perl-time-timegm-0.01-r10.apk2025-06-30 07:25 6.8K 
[   ]perl-time-timegm-doc-0.01-r10.apk2025-06-30 07:25 3.9K 
[   ]perl-tree-simple-visitorfactory-0.16-r0.apk2025-03-26 11:51 20K 
[   ]perl-tree-simple-visitorfactory-doc-0.16-r0.apk2025-03-26 11:51 46K 
[   ]perl-types-path-tiny-0.006-r0.apk2024-10-25 19:10 3.9K 
[   ]perl-types-path-tiny-doc-0.006-r0.apk2024-10-25 19:10 4.1K 
[   ]perl-uri-db-0.23-r0.apk2025-01-09 05:26 11K 
[   ]perl-uri-db-doc-0.23-r0.apk2025-01-09 05:26 8.4K 
[   ]perl-uri-fetch-0.15-r0.apk2024-10-25 19:10 7.1K 
[   ]perl-uri-fetch-doc-0.15-r0.apk2024-10-25 19:10 7.5K 
[   ]perl-uri-find-20160806-r0.apk2025-03-26 11:51 14K 
[   ]perl-uri-find-doc-20160806-r0.apk2025-03-26 11:51 9.1K 
[   ]perl-uri-nested-0.10-r0.apk2024-10-25 19:10 4.0K 
[   ]perl-uri-nested-doc-0.10-r0.apk2024-10-25 19:10 3.9K 
[   ]perl-uri-redis-0.02-r0.apk2024-10-25 19:10 3.2K 
[   ]perl-uri-redis-doc-0.02-r0.apk2024-10-25 19:10 4.6K 
[   ]perl-uri-tcp-2.0.0-r0.apk2024-10-25 19:10 2.7K 
[   ]perl-uri-tcp-doc-2.0.0-r0.apk2024-10-25 19:10 5.0K 
[   ]perl-uri-ws-0.03-r0.apk2025-03-26 11:51 2.3K 
[   ]perl-uri-ws-doc-0.03-r0.apk2025-03-26 11:51 4.3K 
[   ]perl-url-encode-0.03-r4.apk2024-10-25 19:10 5.2K 
[   ]perl-url-encode-doc-0.03-r4.apk2024-10-25 19:10 4.7K 
[   ]perl-variable-disposition-0.005-r0.apk2024-10-25 19:10 3.3K 
[   ]perl-variable-disposition-doc-0.005-r0.apk2024-10-25 19:10 5.7K 
[   ]perl-web-machine-0.17-r0.apk2025-06-13 18:17 20K 
[   ]perl-web-machine-doc-0.17-r0.apk2025-06-13 18:17 29K 
[   ]perl-web-scraper-0.38-r0.apk2025-07-12 06:30 7.5K 
[   ]perl-web-scraper-doc-0.38-r0.apk2025-07-12 06:30 8.1K 
[   ]perl-www-noss-1.05-r0.apk2025-07-23 04:04 42K 
[   ]perl-www-noss-doc-1.05-r0.apk2025-07-23 04:04 42K 
[   ]perl-x-tiny-0.22-r0.apk2024-10-25 19:10 6.9K 
[   ]perl-x-tiny-doc-0.22-r0.apk2024-10-25 19:10 7.6K 
[   ]perl-xml-atom-0.43-r0.apk2024-10-25 19:10 20K 
[   ]perl-xml-atom-doc-0.43-r0.apk2024-10-25 19:10 16K 
[   ]perl-xml-bare-0.53-r14.apk2025-06-30 07:25 28K 
[   ]perl-xml-bare-doc-0.53-r14.apk2025-06-30 07:25 11K 
[   ]perl-xml-feed-0.65-r0.apk2024-10-25 19:10 14K 
[   ]perl-xml-feed-doc-0.65-r0.apk2024-10-25 19:10 12K 
[   ]perl-xml-parser-style-easytree-0.09-r0.apk2024-10-25 19:10 5.0K 
[   ]perl-xml-parser-style-easytree-doc-0.09-r0.apk2024-10-25 19:10 5.4K 
[   ]perl-xml-rpc-2.1-r0.apk2024-10-25 19:10 5.7K 
[   ]perl-xml-rpc-doc-2.1-r0.apk2024-10-25 19:10 4.9K 
[   ]perl-xml-stream-1.24-r0.apk2024-10-25 19:10 44K 
[   ]perl-xml-stream-doc-1.24-r0.apk2024-10-25 19:10 18K 
[   ]perl-xml-xpathengine-0.14-r0.apk2025-07-08 16:54 22K 
[   ]perl-xml-xpathengine-doc-0.14-r0.apk2025-07-08 16:54 11K 
[   ]persistent-cache-cpp-1.0.7-r4.apk2025-02-17 15:08 45K 
[   ]persistent-cache-cpp-dev-1.0.7-r4.apk2025-02-17 15:08 18K 
[   ]persistent-cache-cpp-doc-1.0.7-r4.apk2025-02-17 15:08 3.1K 
[   ]pest-language-server-0.3.9-r0.apk2024-10-25 19:10 1.0M 
[   ]pfetch-1.9.0-r0.apk2025-04-10 13:18 23K 
[   ]pfetch-doc-1.9.0-r0.apk2025-04-10 13:18 5.7K 
[   ]pgcat-1.2.0-r1.apk2025-01-01 17:38 2.4M 
[   ]pgcat-openrc-1.2.0-r1.apk2025-01-01 17:38 1.9K 
[   ]phoronix-test-suite-10.8.4-r2.apk2024-10-25 19:10 3.9M 
[   ]phoronix-test-suite-bash-completion-10.8.4-r2.apk2024-10-25 19:10 1.8K 
[   ]phoronix-test-suite-doc-10.8.4-r2.apk2024-10-25 19:10 287K 
[   ]php81-8.1.33-r0.apk2025-07-03 00:06 1.7M 
[   ]php81-apache2-8.1.33-r0.apk2025-07-03 00:06 1.7M 
[   ]php81-bcmath-8.1.33-r0.apk2025-07-03 00:06 16K 
[   ]php81-bz2-8.1.33-r0.apk2025-07-03 00:06 10K 
[   ]php81-calendar-8.1.33-r0.apk2025-07-03 00:06 13K 
[   ]php81-cgi-8.1.33-r0.apk2025-07-03 00:06 1.7M 
[   ]php81-common-8.1.33-r0.apk2025-07-03 00:06 25K 
[   ]php81-ctype-8.1.33-r0.apk2025-07-03 00:06 5.2K 
[   ]php81-curl-8.1.33-r0.apk2025-07-03 00:06 36K 
[   ]php81-dba-8.1.33-r0.apk2025-07-03 00:06 22K 
[   ]php81-dev-8.1.33-r0.apk2025-07-03 00:06 939K 
[   ]php81-doc-8.1.33-r0.apk2025-07-03 00:06 68K 
[   ]php81-dom-8.1.33-r0.apk2025-07-03 00:06 59K 
[   ]php81-embed-8.1.33-r0.apk2025-07-03 00:06 1.7M 
[   ]php81-enchant-8.1.33-r0.apk2025-07-03 00:06 8.8K 
[   ]php81-exif-8.1.33-r0.apk2025-07-03 00:06 29K 
[   ]php81-ffi-8.1.33-r0.apk2025-07-03 00:06 72K 
[   ]php81-fileinfo-8.1.33-r0.apk2025-07-03 00:06 377K 
[   ]php81-fpm-8.1.33-r0.apk2025-07-03 00:06 1.7M 
[   ]php81-ftp-8.1.33-r0.apk2025-07-03 00:06 22K 
[   ]php81-gd-8.1.33-r0.apk2025-07-03 00:06 123K 
[   ]php81-gettext-8.1.33-r0.apk2025-07-03 00:06 6.0K 
[   ]php81-gmp-8.1.33-r0.apk2025-07-03 00:06 20K 
[   ]php81-iconv-8.1.33-r0.apk2025-07-03 00:06 17K 
[   ]php81-imap-8.1.33-r0.apk2025-07-03 00:06 32K 
[   ]php81-intl-8.1.33-r0.apk2025-07-03 00:06 134K 
[   ]php81-ldap-8.1.33-r0.apk2025-07-03 00:06 30K 
[   ]php81-litespeed-8.1.33-r0.apk2025-07-03 00:06 1.7M 
[   ]php81-mbstring-8.1.33-r0.apk2025-07-03 00:06 565K 
[   ]php81-mysqli-8.1.33-r0.apk2025-07-03 00:06 39K 
[   ]php81-mysqlnd-8.1.33-r0.apk2025-07-03 00:06 75K 
[   ]php81-odbc-8.1.33-r0.apk2025-07-03 00:06 22K 
[   ]php81-opcache-8.1.33-r0.apk2025-07-03 00:06 509K 
[   ]php81-openssl-8.1.33-r0.apk2025-07-03 00:06 67K 
[   ]php81-pcntl-8.1.33-r0.apk2025-07-03 00:06 13K 
[   ]php81-pdo-8.1.33-r0.apk2025-07-03 00:06 40K 
[   ]php81-pdo_dblib-8.1.33-r0.apk2025-07-03 00:06 13K 
[   ]php81-pdo_mysql-8.1.33-r0.apk2025-07-03 00:06 13K 
[   ]php81-pdo_odbc-8.1.33-r0.apk2025-07-03 00:06 13K 
[   ]php81-pdo_pgsql-8.1.33-r0.apk2025-07-03 00:06 19K 
[   ]php81-pdo_sqlite-8.1.33-r0.apk2025-07-03 00:06 13K 
[   ]php81-pear-8.1.33-r0.apk2025-07-03 00:06 338K 
[   ]php81-pecl-amqp-2.1.2-r0.apk2024-10-25 19:10 56K 
[   ]php81-pecl-apcu-5.1.24-r0.apk2024-10-25 19:10 56K 
[   ]php81-pecl-ast-1.1.2-r0.apk2024-10-25 19:10 20K 
[   ]php81-pecl-brotli-0.18.0-r0.apk2025-06-17 19:46 15K 
[   ]php81-pecl-couchbase-4.3.0-r0.apk2025-06-15 04:00 4.7M 
[   ]php81-pecl-csv-0.4.3-r0.apk2025-02-25 15:45 10K 
[   ]php81-pecl-decimal-1.5.0-r1.apk2024-10-25 19:10 19K 
[   ]php81-pecl-ds-1.6.0-r0.apk2025-05-07 13:16 58K 
[   ]php81-pecl-event-3.1.4-r0.apk2024-10-25 19:10 52K 
[   ]php81-pecl-grpc-1.72.0-r0.apk2025-05-03 20:03 4.5M 
[   ]php81-pecl-igbinary-3.2.16-r0.apk2024-10-25 19:10 32K 
[   ]php81-pecl-imagick-3.8.0-r0.apk2025-04-10 20:01 105K 
[   ]php81-pecl-imagick-dev-3.8.0-r0.apk2025-04-10 20:01 2.3K 
[   ]php81-pecl-immutable_cache-6.1.0-r0.apk2024-10-25 19:10 40K 
[   ]php81-pecl-jsmin-3.0.0-r0.apk2024-10-25 19:10 11K 
[   ]php81-pecl-luasandbox-4.1.2-r0.apk2024-10-25 19:10 31K 
[   ]php81-pecl-lzf-1.7.0-r0.apk2024-10-25 19:10 7.7K 
[   ]php81-pecl-mailparse-3.1.8-r0.apk2024-10-25 19:10 24K 
[   ]php81-pecl-maxminddb-1.12.1-r0.apk2025-05-07 11:53 8.5K 
[   ]php81-pecl-mcrypt-1.0.7-r0.apk2024-10-25 19:10 16K 
[   ]php81-pecl-memcache-8.2-r1.apk2024-10-25 19:10 45K 
[   ]php81-pecl-memcached-3.3.0-r0.apk2024-10-25 19:10 46K 
[   ]php81-pecl-memprof-3.1.0-r0.apk2025-02-24 17:23 14K 
[   ]php81-pecl-mongodb-2.1.1-r0.apk2025-06-15 04:00 820K 
[   ]php81-pecl-msgpack-3.0.0-r0.apk2024-10-25 19:10 27K 
[   ]php81-pecl-oauth-2.0.9-r0.apk2024-10-25 19:10 35K 
[   ]php81-pecl-opentelemetry-1.2.0-r0.apk2025-07-12 13:10 13K 
[   ]php81-pecl-pcov-1.0.12-r0.apk2024-12-04 17:17 9.8K 
[   ]php81-pecl-protobuf-4.30.0-r0.apk2025-03-05 18:38 142K 
[   ]php81-pecl-psr-1.2.0-r0.apk2024-10-25 19:10 19K 
[   ]php81-pecl-rdkafka-6.0.5-r0.apk2024-11-04 12:52 37K 
[   ]php81-pecl-redis-6.2.0-r0.apk2025-03-27 10:58 193K 
[   ]php81-pecl-smbclient-1.2.0_pre-r0.apk2024-12-10 19:01 21K 
[   ]php81-pecl-ssh2-1.4.1-r0.apk2024-10-25 19:10 29K 
[   ]php81-pecl-swoole-6.0.2-r0.apk2025-03-26 18:29 869K 
[   ]php81-pecl-swoole-dev-6.0.2-r0.apk2025-03-26 18:29 213K 
[   ]php81-pecl-timezonedb-2025.2-r0.apk2025-03-27 11:06 189K 
[   ]php81-pecl-uploadprogress-2.0.2-r1.apk2024-10-25 19:10 6.9K 
[   ]php81-pecl-uploadprogress-doc-2.0.2-r1.apk2024-10-25 19:10 9.9K 
[   ]php81-pecl-uuid-1.3.0-r0.apk2025-05-13 22:00 6.8K 
[   ]php81-pecl-xdebug-3.4.5-r0.apk2025-07-23 04:04 142K 
[   ]php81-pecl-xhprof-2.3.10-r0.apk2024-10-25 19:10 13K 
[   ]php81-pecl-xhprof-assets-2.3.10-r0.apk2024-10-25 19:10 801K 
[   ]php81-pecl-xlswriter-1.5.8-r0.apk2024-11-11 01:44 222K 
[   ]php81-pecl-xmlrpc-1.0.0_rc3-r2.apk2024-10-25 19:10 37K 
[   ]php81-pecl-yaml-2.2.4-r0.apk2024-10-25 19:10 19K 
[   ]php81-pecl-zephir_parser-1.7.0-r0.apk2024-11-24 17:25 57K 
[   ]php81-pecl-zstd-0.14.0-r0.apk2024-11-06 14:44 14K 
[   ]php81-pgsql-8.1.33-r0.apk2025-07-03 00:06 43K 
[   ]php81-phar-8.1.33-r0.apk2025-07-03 00:06 116K 
[   ]php81-phpdbg-8.1.33-r0.apk2025-07-03 00:06 1.7M 
[   ]php81-posix-8.1.33-r0.apk2025-07-03 00:06 11K 
[   ]php81-pspell-8.1.33-r0.apk2025-07-03 00:06 8.2K 
[   ]php81-session-8.1.33-r0.apk2025-07-03 00:06 35K 
[   ]php81-shmop-8.1.33-r0.apk2025-07-03 00:06 6.4K 
[   ]php81-simplexml-8.1.33-r0.apk2025-07-03 00:06 22K 
[   ]php81-snmp-8.1.33-r0.apk2025-07-03 00:06 20K 
[   ]php81-soap-8.1.33-r0.apk2025-07-03 00:06 126K 
[   ]php81-sockets-8.1.33-r0.apk2025-07-03 00:06 36K 
[   ]php81-sodium-8.1.33-r0.apk2025-07-03 00:06 25K 
[   ]php81-spx-0.4.20-r0.apk2025-07-03 00:06 107K 
[   ]php81-sqlite3-8.1.33-r0.apk2025-07-03 00:06 21K 
[   ]php81-sysvmsg-8.1.33-r0.apk2025-07-03 00:06 7.8K 
[   ]php81-sysvsem-8.1.33-r0.apk2025-07-03 00:06 6.0K 
[   ]php81-sysvshm-8.1.33-r0.apk2025-07-03 00:06 7.0K 
[   ]php81-tideways_xhprof-5.0.4-r1.apk2024-10-25 19:10 13K 
[   ]php81-tidy-8.1.33-r0.apk2025-07-03 00:06 19K 
[   ]php81-tokenizer-8.1.33-r0.apk2025-07-03 00:06 12K 
[   ]php81-xml-8.1.33-r0.apk2025-07-03 00:06 19K 
[   ]php81-xmlreader-8.1.33-r0.apk2025-07-03 00:06 13K 
[   ]php81-xmlwriter-8.1.33-r0.apk2025-07-03 00:06 11K 
[   ]php81-xsl-8.1.33-r0.apk2025-07-03 00:06 13K 
[   ]php81-zip-8.1.33-r0.apk2025-07-03 00:06 25K 
[   ]php82-pdlib-1.1.0-r1.apk2024-10-25 19:10 484K 
[   ]php82-pecl-apfd-1.0.3-r0.apk2024-10-25 19:10 4.7K 
[   ]php82-pecl-excimer-1.2.5-r0.apk2025-05-20 15:42 21K 
[   ]php82-pecl-immutable_cache-6.1.0-r0.apk2024-10-25 19:10 40K 
[   ]php82-pecl-jsmin-3.0.0-r0.apk2024-10-25 19:10 11K 
[   ]php82-pecl-oauth-2.0.9-r0.apk2024-10-25 19:10 36K 
[   ]php82-pecl-runkit7-4.0.0_alpha6-r1.apk2024-10-25 19:10 31K 
[   ]php82-pecl-teds-1.3.0-r0.apk2024-10-25 19:10 128K 
[   ]php82-pecl-vld-0.19.1-r0.apk2025-07-23 04:04 16K 
[   ]php82-pecl-zephir_parser-1.7.0-r0.apk2024-11-24 17:25 57K 
[   ]php82-snappy-0.2.3-r0.apk2025-04-09 18:19 5.5K 
[   ]php83-pecl-apfd-1.0.3-r0.apk2024-10-25 19:10 4.7K 
[   ]php83-pecl-eio-3.1.4-r0.apk2025-07-23 04:04 28K 
[   ]php83-pecl-ev-1.2.1-r0.apk2025-07-23 04:04 41K 
[   ]php83-pecl-excimer-1.2.5-r0.apk2025-05-20 15:42 21K 
[   ]php83-pecl-jsmin-3.0.0-r0.apk2024-10-25 19:10 11K 
[   ]php83-pecl-oauth-2.0.9-r0.apk2024-10-25 19:10 36K 
[   ]php83-pecl-phpy-1.0.11-r1.apk2025-05-13 22:00 42K 
[   ]php83-pecl-uv-0.3.0-r0.apk2024-10-25 19:10 51K 
[   ]php83-pecl-vld-0.19.1-r0.apk2025-07-23 04:04 16K 
[   ]php83-pecl-zmq-1.1.4-r0.apk2024-10-25 19:10 32K 
[   ]php84-pecl-csv-0.4.3-r0.apk2025-02-25 15:45 10K 
[   ]php84-pecl-ev-1.2.1-r0.apk2025-07-23 04:04 41K 
[   ]php84-pecl-memprof-3.1.0-r0.apk2025-02-24 17:23 14K 
[   ]php84-pecl-oauth-2.0.9-r0.apk2024-10-25 19:10 36K 
[   ]php84-pecl-phpy-1.0.11-r1.apk2025-05-13 22:00 42K 
[   ]php84-pecl-solr-2.8.1-r0.apk2025-05-03 20:03 87K 
[   ]php84-pecl-uv-0.3.0-r0.apk2024-10-28 12:47 51K 
[   ]php84-pecl-vld-0.19.1-r0.apk2025-07-23 04:04 16K 
[   ]php84-snappy-0.2.3-r0.apk2025-04-09 18:19 5.5K 
[   ]php85-8.5.0_alpha2-r0.apk2025-07-16 08:47 2.5M 
[   ]php85-apache2-8.5.0_alpha2-r0.apk2025-07-16 08:47 2.5M 
[   ]php85-bcmath-8.5.0_alpha2-r0.apk2025-07-16 08:47 27K 
[   ]php85-bz2-8.5.0_alpha2-r0.apk2025-07-16 08:47 11K 
[   ]php85-calendar-8.5.0_alpha2-r0.apk2025-07-16 08:47 13K 
[   ]php85-cgi-8.5.0_alpha2-r0.apk2025-07-16 08:47 2.5M 
[   ]php85-common-8.5.0_alpha2-r0.apk2025-07-16 08:47 24K 
[   ]php85-ctype-8.5.0_alpha2-r0.apk2025-07-16 08:47 5.2K 
[   ]php85-curl-8.5.0_alpha2-r0.apk2025-07-16 08:47 43K 
[   ]php85-dba-8.5.0_alpha2-r0.apk2025-07-16 08:47 24K 
[   ]php85-dbg-8.5.0_alpha2-r0.apk2025-07-16 08:48 52M 
[   ]php85-dev-8.5.0_alpha2-r0.apk2025-07-16 08:48 1.1M 
[   ]php85-doc-8.5.0_alpha2-r0.apk2025-07-16 08:48 50K 
[   ]php85-dom-8.5.0_alpha2-r0.apk2025-07-16 08:48 138K 
[   ]php85-embed-8.5.0_alpha2-r0.apk2025-07-16 08:48 2.5M 
[   ]php85-enchant-8.5.0_alpha2-r0.apk2025-07-16 08:48 9.8K 
[   ]php85-exif-8.5.0_alpha2-r0.apk2025-07-16 08:48 29K 
[   ]php85-ffi-8.5.0_alpha2-r0.apk2025-07-16 08:48 75K 
[   ]php85-fileinfo-8.5.0_alpha2-r0.apk2025-07-16 08:48 497K 
[   ]php85-fpm-8.5.0_alpha2-r0.apk2025-07-16 08:48 2.5M 
[   ]php85-ftp-8.5.0_alpha2-r0.apk2025-07-16 08:48 23K 
[   ]php85-gd-8.5.0_alpha2-r0.apk2025-07-16 08:48 128K 
[   ]php85-gettext-8.5.0_alpha2-r0.apk2025-07-16 08:48 6.9K 
[   ]php85-gmp-8.5.0_alpha2-r0.apk2025-07-16 08:48 19K 
[   ]php85-iconv-8.5.0_alpha2-r0.apk2025-07-16 08:48 17K 
[   ]php85-intl-8.5.0_alpha2-r0.apk2025-07-16 08:48 165K 
[   ]php85-ldap-8.5.0_alpha2-r0.apk2025-07-16 08:48 32K 
[   ]php85-litespeed-8.5.0_alpha2-r0.apk2025-07-16 08:48 2.5M 
[   ]php85-mbstring-8.5.0_alpha2-r0.apk2025-07-16 08:48 627K 
[   ]php85-mysqli-8.5.0_alpha2-r0.apk2025-07-16 08:48 41K 
[   ]php85-mysqlnd-8.5.0_alpha2-r0.apk2025-07-16 08:48 74K 
[   ]php85-odbc-8.5.0_alpha2-r0.apk2025-07-16 08:48 26K 
[   ]php85-opcache-8.5.0_alpha2-r0.apk2025-07-16 08:48 431K 
[   ]php85-openssl-8.5.0_alpha2-r0.apk2025-07-16 08:48 75K 
[   ]php85-pcntl-8.5.0_alpha2-r0.apk2025-07-16 08:48 18K 
[   ]php85-pdo-8.5.0_alpha2-r0.apk2025-07-16 08:48 43K 
[   ]php85-pdo_dblib-8.5.0_alpha2-r0.apk2025-07-16 08:48 13K 
[   ]php85-pdo_mysql-8.5.0_alpha2-r0.apk2025-07-16 08:48 15K 
[   ]php85-pdo_odbc-8.5.0_alpha2-r0.apk2025-07-16 08:48 14K 
[   ]php85-pdo_pgsql-8.5.0_alpha2-r0.apk2025-07-16 08:48 24K 
[   ]php85-pdo_sqlite-8.5.0_alpha2-r0.apk2025-07-16 08:48 18K 
[   ]php85-pear-8.5.0_alpha2-r0.apk2025-07-16 08:48 338K 
[   ]php85-pecl-apcu-5.1.24-r0.apk2025-07-03 00:06 56K 
[   ]php85-pecl-brotli-0.18.0-r0.apk2025-07-03 00:06 15K 
[   ]php85-pecl-ev-1.2.1-r0.apk2025-07-23 04:04 41K 
[   ]php85-pecl-igbinary-3.2.16-r0.apk2025-07-03 00:06 32K 
[   ]php85-pecl-uploadprogress-2.0.2-r0.apk2025-07-03 00:06 6.8K 
[   ]php85-pecl-uploadprogress-doc-2.0.2-r0.apk2025-07-03 00:06 9.6K 
[   ]php85-pecl-vld-0.19.1-r0.apk2025-07-23 04:04 16K 
[   ]php85-pecl-xdebug-3.4.5-r0.apk2025-07-23 04:04 143K 
[   ]php85-pecl-xhprof-2.3.10-r0.apk2025-07-03 00:06 13K 
[   ]php85-pecl-xhprof-assets-2.3.10-r0.apk2025-07-03 00:06 807K 
[   ]php85-pecl-yaml-2.2.4-r0.apk2025-07-03 00:06 19K 
[   ]php85-pgsql-8.5.0_alpha2-r0.apk2025-07-16 08:48 54K 
[   ]php85-phar-8.5.0_alpha2-r0.apk2025-07-16 08:48 118K 
[   ]php85-phpdbg-8.5.0_alpha2-r0.apk2025-07-16 08:48 2.5M 
[   ]php85-posix-8.5.0_alpha2-r0.apk2025-07-16 08:48 12K 
[   ]php85-session-8.5.0_alpha2-r0.apk2025-07-16 08:48 38K 
[   ]php85-shmop-8.5.0_alpha2-r0.apk2025-07-16 08:48 6.7K 
[   ]php85-simplexml-8.5.0_alpha2-r0.apk2025-07-16 08:48 22K 
[   ]php85-snmp-8.5.0_alpha2-r0.apk2025-07-16 08:48 21K 
[   ]php85-soap-8.5.0_alpha2-r0.apk2025-07-16 08:48 130K 
[   ]php85-sockets-8.5.0_alpha2-r0.apk2025-07-16 08:48 41K 
[   ]php85-sodium-8.5.0_alpha2-r0.apk2025-07-16 08:48 27K 
[   ]php85-spx-0.4.20-r0.apk2025-07-23 04:04 107K 
[   ]php85-sqlite3-8.5.0_alpha2-r0.apk2025-07-16 08:48 24K 
[   ]php85-sysvmsg-8.5.0_alpha2-r0.apk2025-07-16 08:48 8.1K 
[   ]php85-sysvsem-8.5.0_alpha2-r0.apk2025-07-16 08:48 6.0K 
[   ]php85-sysvshm-8.5.0_alpha2-r0.apk2025-07-16 08:48 7.0K 
[   ]php85-tidy-8.5.0_alpha2-r0.apk2025-07-16 08:48 19K 
[   ]php85-tokenizer-8.5.0_alpha2-r0.apk2025-07-16 08:48 12K 
[   ]php85-xml-8.5.0_alpha2-r0.apk2025-07-16 08:48 24K 
[   ]php85-xmlreader-8.5.0_alpha2-r0.apk2025-07-16 08:48 16K 
[   ]php85-xmlwriter-8.5.0_alpha2-r0.apk2025-07-16 08:48 13K 
[   ]php85-xsl-8.5.0_alpha2-r0.apk2025-07-16 08:48 15K 
[   ]php85-zip-8.5.0_alpha2-r0.apk2025-07-16 08:48 29K 
[   ]phpactor-2025.04.17.0-r0.apk2025-05-30 14:44 3.5M 
[   ]pick-4.0.0-r0.apk2024-10-25 19:10 10K 
[   ]pick-doc-4.0.0-r0.apk2024-10-25 19:10 3.3K 
[   ]pict-rs-0.5.19-r0.apk2025-05-19 09:19 5.5M 
[   ]pict-rs-openrc-0.5.19-r0.apk2025-05-19 09:19 1.9K 
[   ]pidif-0.1-r1.apk2024-10-25 19:10 154K 
[   ]piglit-0_git20241106-r1.apk2025-05-13 22:00 92M 
[   ]pigpio-79-r4.apk2024-10-25 19:10 220K 
[   ]pigpio-dev-79-r4.apk2024-10-25 19:10 91K 
[   ]pigpio-doc-79-r4.apk2024-10-25 19:10 115K 
[   ]pigpio-openrc-79-r4.apk2024-10-25 19:10 1.6K 
[   ]pihole-6.2.3-r0.apk2025-06-20 18:05 5.5M 
[   ]pihole-bash-completion-6.2.3-r0.apk2025-06-20 18:05 2.2K 
[   ]pihole-doc-6.2.3-r0.apk2025-06-20 18:05 3.8K 
[   ]pihole-openrc-6.2.3-r0.apk2025-06-20 18:05 1.8K 
[   ]piler-1.4.7-r0.apk2025-01-16 10:12 2.1M 
[   ]piler-openrc-1.4.7-r0.apk2025-01-16 10:12 2.2K 
[   ]pimd-3.0_git20220201-r0.apk2024-10-25 19:10 84K 
[   ]pimd-dense-2.1.0-r0.apk2024-10-25 19:10 53K 
[   ]pimd-dense-doc-2.1.0-r0.apk2024-10-25 19:10 20K 
[   ]pimd-dense-openrc-2.1.0-r0.apk2024-10-25 19:10 1.8K 
[   ]pimd-doc-3.0_git20220201-r0.apk2024-10-25 19:10 35K 
[   ]pimd-openrc-3.0_git20220201-r0.apk2024-10-25 19:10 1.6K 
[   ]pinentry-bemenu-0.14.0-r1.apk2025-07-06 00:16 8.8K 
[   ]pinephone-call-audio-0.1-r0.apk2024-10-25 19:10 7.3K 
[   ]pinephone-compass-0.4.0-r1.apk2024-10-25 19:10 18K 
[   ]pipectl-0.4.1-r1.apk2024-10-25 19:10 6.2K 
[   ]pipectl-doc-0.4.1-r1.apk2024-10-25 19:10 3.0K 
[   ]piper-phonemize-2023.11.14.4-r9.apk2025-07-12 16:01 9.0M 
[   ]piper-phonemize-dev-2023.11.14.4-r9.apk2025-07-12 16:01 394K 
[   ]piper-phonemize-libs-2023.11.14.4-r9.apk2025-07-12 16:01 68K 
[   ]piper-tts-2023.11.14.2-r14.apk2025-07-12 16:01 127K 
[   ]piper-tts-dev-2023.11.14.2-r14.apk2025-07-12 16:01 141K 
[   ]piping-server-0.18.0-r0.apk2024-10-25 19:10 1.4M 
[   ]piping-server-openrc-0.18.0-r0.apk2024-10-25 19:10 1.8K 
[   ]pithos-1.6.1-r0.apk2024-10-25 19:10 104K 
[   ]pithos-doc-1.6.1-r0.apk2024-10-25 19:10 2.1K 
[   ]pithos-pyc-1.6.1-r0.apk2024-10-25 19:10 154K 
[   ]pitivi-2023.03-r2.apk2024-12-23 01:15 2.7M 
[   ]pitivi-lang-2023.03-r2.apk2024-12-23 01:15 678K 
[   ]pitivi-pyc-2023.03-r2.apk2024-12-23 01:15 700K 
[   ]pixi-0.24.2-r0.apk2024-10-25 19:10 9.1M 
[   ]pixi-bash-completion-0.24.2-r0.apk2024-10-25 19:10 7.2K 
[   ]pixi-doc-0.24.2-r0.apk2024-10-25 19:10 6.9K 
[   ]pixi-fish-completion-0.24.2-r0.apk2024-10-25 19:10 10K 
[   ]pixi-zsh-completion-0.24.2-r0.apk2024-10-25 19:10 10K 
[   ]pixiewps-1.4.2-r2.apk2025-05-13 22:00 50K 
[   ]pixiewps-doc-1.4.2-r2.apk2025-05-13 22:00 3.4K 
[   ]plakar-1.0.2-r1.apk2025-07-25 05:20 15M 
[   ]plakar-doc-1.0.2-r1.apk2025-07-25 05:20 21K 
[   ]planarity-4.0.0.0-r0.apk2025-03-26 11:51 23K 
[   ]planarity-dev-4.0.0.0-r0.apk2025-03-26 11:51 23K 
[   ]planarity-doc-4.0.0.0-r0.apk2025-03-26 11:51 13K 
[   ]planarity-libs-4.0.0.0-r0.apk2025-03-26 11:51 74K 
[   ]planner-0.14.92-r1.apk2024-12-08 21:43 351K 
[   ]planner-doc-0.14.92-r1.apk2024-12-08 21:43 2.2K 
[   ]planner-lang-0.14.92-r1.apk2024-12-08 21:43 825K 
[   ]platformio-core-6.1.7-r3.apk2024-10-25 19:10 263K 
[   ]platformio-core-pyc-6.1.7-r3.apk2024-10-25 19:10 552K 
[   ]please-0.5.5-r0.apk2024-10-25 19:10 1.0M 
[   ]please-build-17.17.0-r1.apk2025-07-12 06:30 6.9M 
[   ]please-build-bash-completion-17.17.0-r1.apk2025-07-12 06:30 1.9K 
[   ]please-build-tools-17.17.0-r1.apk2025-07-12 06:30 10M 
[   ]please-build-zsh-completion-17.17.0-r1.apk2025-07-12 06:30 1.9K 
[   ]please-doc-0.5.5-r0.apk2024-10-25 19:10 16K 
[   ]plfit-1.0.1-r0.apk2025-01-04 03:47 53K 
[   ]plfit-dev-1.0.1-r0.apk2025-01-04 03:47 6.5K 
[   ]plfit-libs-1.0.1-r0.apk2025-01-04 03:47 41K 
[   ]plfit-static-1.0.1-r0.apk2025-01-04 03:47 39K 
[   ]plib-1.8.5-r3.apk2024-10-25 19:10 878K 
[   ]plots-0.7.0-r1.apk2024-11-06 09:41 516K 
[   ]plplot-5.15.0-r2.apk2024-10-25 19:10 31K 
[   ]plplot-dev-5.15.0-r2.apk2024-10-25 19:10 59K 
[   ]plplot-doc-5.15.0-r2.apk2024-10-25 19:10 311K 
[   ]plplot-libs-5.15.0-r2.apk2024-10-25 19:10 192K 
[   ]pmccabe-2.8-r1.apk2024-10-25 19:10 25K 
[   ]pmccabe-doc-2.8-r1.apk2024-10-25 19:10 7.1K 
[   ]pnmixer-0.7.2-r3.apk2024-10-25 19:10 142K 
[   ]pnmixer-doc-0.7.2-r3.apk2024-10-25 19:10 2.3K 
[   ]pnmixer-lang-0.7.2-r3.apk2024-10-25 19:10 25K 
[   ]pokoy-0.2.5-r0.apk2024-10-25 19:10 9.3K 
[   ]pokoy-doc-0.2.5-r0.apk2024-10-25 19:10 3.0K 
[   ]policycoreutils-3.6-r1.apk2024-10-25 19:10 67K 
[   ]policycoreutils-bash-completion-3.6-r1.apk2024-10-25 19:10 2.4K 
[   ]policycoreutils-doc-3.6-r1.apk2024-10-25 19:10 22K 
[   ]policycoreutils-lang-3.6-r1.apk2024-10-25 19:10 105K 
[   ]polycule-0.2.5_git20250604-r1.apk2025-07-13 21:22 29M 
[   ]polyglot-2.0.4-r1.apk2024-10-25 19:10 66K 
[   ]polyglot-doc-2.0.4-r1.apk2024-10-25 19:10 48K 
[   ]pomo-0.8.1-r24.apk2025-07-12 06:30 1.6M 
[   ]pomo-doc-0.8.1-r24.apk2025-07-12 06:30 2.7K 
[   ]pongoos-loader-0_git20210704-r1.apk2024-10-25 19:10 2.4K 
[   ]pop-cursor-theme-3.5.1-r0.apk2025-03-26 11:51 13M 
[   ]pop-icon-theme-3.5.1-r0.apk2025-03-26 11:51 1.3M 
[   ]pop-launcher-1.2.4-r0.apk2025-03-11 03:55 2.3M 
[   ]popeye-0.22.1-r5.apk2025-07-12 06:30 27M 
[   ]porla-0.41.0-r2.apk2025-02-24 15:36 3.9M 
[   ]porla-doc-0.41.0-r2.apk2025-02-24 15:36 2.2K 
[   ]porla-openrc-0.41.0-r2.apk2025-02-24 15:36 2.7K 
[   ]portsmf-239-r1.apk2024-10-25 19:10 55K 
[   ]portsmf-dev-239-r1.apk2024-10-25 19:10 20K 
[   ]postgresql-hll-2.18-r0.apk2024-10-25 19:10 26K 
[   ]postgresql-hll-bitcode-2.18-r0.apk2024-10-25 19:10 55K 
[   ]postgresql-pg_later-0.0.14-r1.apk2024-10-25 19:10 583K 
[   ]postgresql-pg_variables-1.2.5_git20230922-r0.apk2024-10-25 19:10 23K 
[   ]postgresql-pg_variables-bitcode-1.2.5_git20230922-r0.apk2024-10-25 19:10 54K 
[   ]postgresql-pgmq-1.1.1-r1.apk2024-10-25 19:10 245K 
[   ]postgresql16-wal2json-2.6-r0.apk2024-10-25 19:10 68K 
[   ]pounce-3.1-r3.apk2024-10-25 19:10 31K 
[   ]pounce-doc-3.1-r3.apk2024-10-25 19:10 8.6K 
[   ]pounce-openrc-3.1-r3.apk2024-10-25 19:10 2.9K 
[   ]powder-toy-97.0.352-r1.apk2024-10-25 19:10 805K 
[   ]powerctl-1.1-r6.apk2025-04-18 16:34 116K 
[   ]powerctl-doc-1.1-r6.apk2025-04-18 16:34 3.2K 
[   ]powerstat-0.04.01-r0.apk2024-10-25 19:10 19K 
[   ]powerstat-bash-completion-0.04.01-r0.apk2024-10-25 19:10 2.3K 
[   ]powerstat-doc-0.04.01-r0.apk2024-10-25 19:10 4.3K 
[   ]pptpclient-1.10.0-r6.apk2025-06-30 07:25 33K 
[   ]pptpclient-doc-1.10.0-r6.apk2025-06-30 07:25 7.2K 
[   ]pqiv-2.12-r1.apk2024-10-25 19:10 64K 
[   ]pqiv-doc-2.12-r1.apk2024-10-25 19:10 12K 
[   ]predict-2.3.1-r0.apk2024-11-24 07:46 91K 
[   ]predict-doc-2.3.1-r0.apk2024-11-24 07:46 16K 
[   ]primecount-7.17-r0.apk2025-05-16 14:32 29K 
[   ]primecount-dev-7.17-r0.apk2025-05-16 14:32 2.3M 
[   ]primecount-doc-7.17-r0.apk2025-05-16 14:32 3.9K 
[   ]primecount-libs-7.17-r0.apk2025-05-16 14:32 159K 
[   ]primesieve-12.8-r0.apk2025-05-03 20:03 42K 
[   ]primesieve-dev-12.8-r0.apk2025-05-03 20:03 1.3M 
[   ]primesieve-doc-12.8-r0.apk2025-05-03 20:03 4.1K 
[   ]primesieve-libs-12.8-r0.apk2025-05-03 20:03 109K 
[   ]prjtrellis-1.4-r2.apk2024-10-25 19:10 1.2M 
[   ]prjtrellis-db-0_git20230929-r0.apk2024-10-25 19:10 3.3K 
[   ]prjtrellis-db-ecp5-0_git20230929-r0.apk2024-10-25 19:10 2.1M 
[   ]prjtrellis-db-machxo-0_git20230929-r0.apk2024-10-25 19:10 39K 
[   ]prjtrellis-db-machxo2-0_git20230929-r0.apk2024-10-25 19:10 1.0M 
[   ]prjtrellis-db-machxo3-0_git20230929-r0.apk2024-10-25 19:10 1.1M 
[   ]prjtrellis-db-machxo3d-0_git20230929-r0.apk2024-10-25 19:10 748K 
[   ]projectm-3.1.12-r2.apk2024-10-25 19:10 434K 
[   ]projectm-dev-3.1.12-r2.apk2024-10-25 19:10 629K 
[   ]projectm-presets-3.1.12-r2.apk2024-10-25 19:10 4.4M 
[   ]projectm-pulseaudio-3.1.12-r2.apk2024-10-25 19:10 408K 
[   ]projectm-pulseaudio-doc-3.1.12-r2.apk2024-10-25 19:10 2.0K 
[   ]projectm-sdl-3.1.12-r2.apk2024-10-25 19:10 318K 
[   ]projectsandcastle-loader-0_git20200307-r1.apk2024-10-25 19:10 5.0K 
[   ]prometheus-ceph-exporter-4.2.5-r5.apk2025-07-12 06:30 3.4M 
[   ]prometheus-ceph-exporter-openrc-4.2.5-r5.apk2025-07-12 06:30 1.9K 
[   ]prometheus-ipmi-exporter-1.8.0-r5.apk2025-05-13 22:00 4.1M 
[   ]prometheus-ipmi-exporter-doc-1.8.0-r5.apk2025-05-13 22:00 6.6K 
[   ]prometheus-ipmi-exporter-openrc-1.8.0-r5.apk2025-05-13 22:00 1.9K 
[   ]prometheus-opnsense-exporter-0.0.8-r1.apk2025-07-12 06:30 4.5M 
[   ]prometheus-opnsense-exporter-openrc-0.0.8-r1.apk2025-07-12 06:30 2.1K 
[   ]prometheus-podman-exporter-1.17.2-r0.apk2025-07-12 11:28 15M 
[   ]prometheus-rethinkdb-exporter-1.0.1-r29.apk2025-07-12 06:30 4.0M 
[   ]prometheus-rethinkdb-exporter-openrc-1.0.1-r29.apk2025-07-12 06:30 1.7K 
[   ]prometheus-smartctl-exporter-0.14.0-r1.apk2025-07-12 06:30 4.4M 
[   ]prometheus-smartctl-exporter-openrc-0.14.0-r1.apk2025-07-12 06:30 1.9K 
[   ]prometheus-smtp2go-exporter-0.1.1-r0.apk2025-07-23 04:04 3.3M 
[   ]prometheus-smtp2go-exporter-openrc-0.1.1-r0.apk2025-07-23 04:04 2.0K 
[   ]prometheus-unbound-exporter-0.4.6-r5.apk2025-05-13 22:00 3.5M 
[   ]prometheus-unbound-exporter-openrc-0.4.6-r5.apk2025-05-13 22:00 2.0K 
[   ]proot-5.4.0-r1.apk2024-10-25 19:10 72K 
[   ]proot-doc-5.4.0-r1.apk2024-10-25 19:10 10K 
[   ]proot-static-5.4.0-r1.apk2024-10-25 19:10 119K 
[   ]prosody-mod-auth_ldap-0.11_hg20201208-r0.apk2024-10-25 19:10 2.8K 
[   ]prosody-mod-auth_pam-0.11_hg20201208-r0.apk2024-10-25 19:10 1.8K 
[   ]prosody-mod-auth_sql-0.11_hg20201208-r0.apk2024-10-25 19:10 2.6K 
[   ]prosody-mod-block_registrations-0.11_hg20201208-r0.apk2024-10-25 19:10 1.8K 
[   ]prosody-mod-bookmarks-0.11_hg20201208-r0.apk2024-10-25 19:10 3.1K 
[   ]prosody-mod-broadcast-0.11_hg20201208-r0.apk2024-10-25 19:10 1.8K 
[   ]prosody-mod-c2s_conn_throttle-0.11_hg20201208-r0.apk2024-10-25 19:10 2.0K 
[   ]prosody-mod-cloud_notify-0.11_hg20201208-r0.apk2024-10-25 19:10 7.2K 
[   ]prosody-mod-conversejs-0.11_hg20201208-r0.apk2024-10-25 19:10 3.3K 
[   ]prosody-mod-host_guard-0.11_hg20201208-r0.apk2024-10-25 19:10 2.8K 
[   ]prosody-mod-http_upload_external-0.11_hg20201208-r0.apk2024-10-25 19:10 2.9K 
[   ]prosody-mod-ipcheck-0.11_hg20201208-r0.apk2024-10-25 19:10 2.0K 
[   ]prosody-mod-log_auth-0.11_hg20201208-r0.apk2024-10-25 19:10 1.8K 
[   ]prosody-mod-log_slow_events-0.11_hg20201208-r0.apk2024-10-25 19:10 2.2K 
[   ]prosody-mod-mam-0.11_hg20201208-r0.apk2024-10-25 19:10 5.9K 
[   ]prosody-mod-mam_muc-0.11_hg20201208-r0.apk2024-10-25 19:10 5.6K 
[   ]prosody-mod-muc_cloud_notify-0.11_hg20201208-r0.apk2024-10-25 19:10 6.9K 
[   ]prosody-mod-pastebin-0.11_hg20201208-r0.apk2024-10-25 19:10 3.7K 
[   ]prosody-mod-register_json-0.11_hg20201208-r0.apk2024-10-25 19:10 104K 
[   ]prosody-mod-register_redirect-0.11_hg20201208-r0.apk2024-10-25 19:10 2.7K 
[   ]prosody-mod-reload_modules-0.11_hg20201208-r0.apk2024-10-25 19:10 2.0K 
[   ]prosody-mod-require_otr-0.11_hg20201208-r0.apk2024-10-25 19:10 1.7K 
[   ]prosody-mod-s2s_idle_timeout-0.11_hg20201208-r0.apk2024-10-25 19:10 2.0K 
[   ]prosody-mod-saslname-0.11_hg20201208-r0.apk2024-10-25 19:10 1.6K 
[   ]prosody-mod-server_status-0.11_hg20201208-r0.apk2024-10-25 19:10 2.8K 
[   ]prosody-mod-smacks-0.11_hg20201208-r0.apk2024-10-25 19:10 8.6K 
[   ]prosody-mod-stanza_counter-0.11_hg20201208-r0.apk2024-10-25 19:10 2.0K 
[   ]prosody-mod-support_contact-0.11_hg20201208-r0.apk2024-10-25 19:10 2.0K 
[   ]prosody-mod-vcard_muc-0.11_hg20201208-r0.apk2024-10-25 19:10 2.7K 
[   ]prosody-mod-webpresence-0.11_hg20201208-r0.apk2024-10-25 19:10 2.6K 
[   ]prosody-modules-0.11_hg20201208-r0.apk2024-10-25 19:10 1.5K 
[   ]protoc-gen-go-1.36.6-r1.apk2025-07-12 06:30 2.2M 
[   ]protoc-gen-js-3.21.4-r1.apk2024-10-25 19:10 1.5M 
[   ]protoconf-0.1.7-r14.apk2025-07-12 06:30 7.2M 
[   ]prowlarr-1.37.0.5076-r0.apk2025-06-13 13:55 20M 
[   ]prowlarr-openrc-1.37.0.5076-r0.apk2025-06-13 13:55 2.0K 
[   ]psftools-1.1.2-r0.apk2024-10-25 19:10 339K 
[   ]psftools-dev-1.1.2-r0.apk2024-10-25 19:10 79K 
[   ]psftools-doc-1.1.2-r0.apk2024-10-25 19:10 60K 
[   ]psi-notify-1.3.1-r0.apk2024-10-25 19:10 11K 
[   ]psi-plus-1.5.2069-r0.apk2025-07-23 04:04 8.5M 
[   ]psi-plus-plugins-1.5.2069-r0.apk2025-07-23 04:04 1.7M 
[   ]pspp-2.0.1-r0.apk2024-10-25 19:10 9.7M 
[   ]pspp-dbg-2.0.1-r0.apk2024-10-25 19:10 4.3M 
[   ]pspp-doc-2.0.1-r0.apk2024-10-25 19:10 9.0K 
[   ]psst-0_git20240526-r1.apk2024-10-25 19:10 7.3M 
[   ]ptpd-2.3.1-r1.apk2024-10-25 19:10 170K 
[   ]ptpd-doc-2.3.1-r1.apk2024-10-25 19:10 20K 
[   ]ptpd-openrc-2.3.1-r1.apk2024-10-25 19:10 2.4K 
[   ]ptylie-0.2-r2.apk2025-05-13 22:00 12K 
[   ]ptylie-doc-0.2-r2.apk2025-05-13 22:00 3.2K 
[   ]pug-0.6.2-r0.apk2025-07-24 12:47 3.9M 
[   ]pully-1.0.0-r0.apk2024-10-25 19:10 2.5K 
[   ]pully-openrc-1.0.0-r0.apk2024-10-25 19:10 1.7K 
[   ]pulsar-client-cpp-3.7.1-r0.apk2025-06-12 15:22 1.3M 
[   ]pulsar-client-cpp-dev-3.7.1-r0.apk2025-06-12 15:22 64K 
[   ]pulseview-0.4.2-r8.apk2024-10-25 19:10 916K 
[   ]pulseview-doc-0.4.2-r8.apk2024-10-25 19:10 3.7K 
[   ]pulumi-watch-0.1.5-r2.apk2024-10-25 19:10 806K 
[   ]pure-data-0.55.2-r0.apk2025-06-30 07:25 1.7M 
[   ]pure-data-dev-0.55.2-r0.apk2025-06-30 07:25 53K 
[   ]pure-data-doc-0.55.2-r0.apk2025-06-30 07:25 5.4M 
[   ]pure-data-libs-0.55.2-r0.apk2025-06-30 07:25 649K 
[   ]purple-facebook-0.9.6-r0.apk2024-10-25 19:10 75K 
[   ]purple-hangouts-0_git20200422-r0.apk2024-10-25 19:10 219K 
[   ]pw-volume-0.5.0-r1.apk2024-10-25 19:10 309K 
[   ]pwauth-2.3.11-r2.apk2024-10-25 19:10 3.9K 
[   ]pwauth-doc-2.3.11-r2.apk2024-10-25 19:10 6.8K 
[   ]pwru-1.0.7-r6.apk2025-07-12 06:30 3.2M 
[   ]pxalarm-3.0.0-r0.apk2024-10-25 19:10 2.9K 
[   ]pxmenu-1.0.0-r1.apk2024-10-25 19:10 2.9K 
[   ]py-spy-0.3.14-r3.apk2024-10-25 19:10 883K 
[   ]py-spy-bash-completion-0.3.14-r3.apk2024-10-25 19:10 2.3K 
[   ]py-spy-doc-0.3.14-r3.apk2024-10-25 19:10 2.3K 
[   ]py-spy-fish-completion-0.3.14-r3.apk2024-10-25 19:10 2.6K 
[   ]py-spy-zsh-completion-0.3.14-r3.apk2024-10-25 19:10 3.0K 
[   ]py3-actdiag-3.0.0-r5.apk2024-10-25 19:10 17K 
[   ]py3-actdiag-pyc-3.0.0-r5.apk2024-10-25 19:10 21K 
[   ]py3-aesedb-0.1.6-r3.apk2025-05-29 12:00 36K 
[   ]py3-aesedb-pyc-0.1.6-r3.apk2025-05-29 12:00 75K 
[   ]py3-agithub-2.2.2-r7.apk2025-03-26 11:51 19K 
[   ]py3-agithub-pyc-2.2.2-r7.apk2025-03-26 11:51 21K 
[   ]py3-aiodocker-0.21.0-r1.apk2024-10-25 19:10 29K 
[   ]py3-aiodocker-pyc-0.21.0-r1.apk2024-10-25 19:10 60K 
[   ]py3-aiohttp-debugtoolbar-0.6.1-r2.apk2024-10-25 19:10 446K 
[   ]py3-aiohttp-debugtoolbar-pyc-0.6.1-r2.apk2024-10-25 19:10 51K 
[   ]py3-aiohttp-jinja2-1.6-r2.apk2024-10-25 19:10 12K 
[   ]py3-aiohttp-jinja2-pyc-1.6-r2.apk2024-10-25 19:10 9.2K 
[   ]py3-aiohttp-remotes-1.3.0-r0.apk2024-11-04 12:28 10K 
[   ]py3-aiohttp-remotes-pyc-1.3.0-r0.apk2024-11-04 12:28 19K 
[   ]py3-aiohttp-session-2.12.1-r0.apk2024-10-25 19:10 10K 
[   ]py3-aiohttp-session-pyc-2.12.1-r0.apk2024-10-25 19:10 15K 
[   ]py3-aioopenssl-0.6.0-r4.apk2024-10-25 19:10 21K 
[   ]py3-aioopenssl-pyc-0.6.0-r4.apk2024-10-25 19:10 19K 
[   ]py3-aiosasl-0.5.0-r4.apk2024-10-25 19:10 30K 
[   ]py3-aiosasl-doc-0.5.0-r4.apk2024-10-25 19:10 16K 
[   ]py3-aiosasl-pyc-0.5.0-r4.apk2024-10-25 19:10 24K 
[   ]py3-aiosmb-0.4.11-r1.apk2025-05-29 12:00 597K 
[   ]py3-aiosmb-pyc-0.4.11-r1.apk2025-05-29 12:00 1.1M 
[   ]py3-aiowinreg-0.0.12-r1.apk2025-05-29 12:00 22K 
[   ]py3-aiowinreg-pyc-0.0.12-r1.apk2025-05-29 12:00 45K 
[   ]py3-aioxmpp-0.13.3-r3.apk2024-10-25 19:10 388K 
[   ]py3-aioxmpp-doc-0.13.3-r3.apk2024-10-25 19:10 18K 
[   ]py3-aioxmpp-pyc-0.13.3-r3.apk2024-10-25 19:10 673K 
[   ]py3-allfiles-1.0-r8.apk2024-10-25 19:10 3.6K 
[   ]py3-allfiles-pyc-1.0-r8.apk2024-10-25 19:10 3.3K 
[   ]py3-altgraph-0.17.4-r1.apk2024-10-25 19:10 21K 
[   ]py3-altgraph-pyc-0.17.4-r1.apk2024-10-25 19:10 29K 
[   ]py3-ansi2html-1.9.2-r0.apk2024-10-25 19:10 18K 
[   ]py3-ansi2html-pyc-1.9.2-r0.apk2024-10-25 19:10 22K 
[   ]py3-ansible-pylibssh-1.2.2-r0.apk2025-07-23 04:04 243K 
[   ]py3-anyascii-0.3.2-r1.apk2024-10-25 19:10 275K 
[   ]py3-anyascii-pyc-0.3.2-r1.apk2024-10-25 19:10 3.3K 
[   ]py3-apicula-0.11.1-r1.apk2024-10-25 19:10 8.5M 
[   ]py3-apicula-pyc-0.11.1-r1.apk2024-10-25 19:10 179K 
[   ]py3-apio-0.9.5-r0.apk2024-10-25 19:10 72K 
[   ]py3-apio-pyc-0.9.5-r0.apk2024-10-25 19:10 77K 
[   ]py3-apsw-3.49.1.0-r0.apk2025-02-25 06:33 817K 
[   ]py3-apsw-pyc-3.49.1.0-r0.apk2025-02-25 06:33 526K 
[   ]py3-apt-2.9.9-r0.apk2025-07-14 07:46 172K 
[   ]py3-apt-lang-2.9.9-r0.apk2025-07-14 07:46 80K 
[   ]py3-apt-pyc-2.9.9-r0.apk2025-07-14 07:46 119K 
[   ]py3-arcus-5.3.0-r5.apk2025-06-12 15:22 87K 
[   ]py3-asif-0.3.2-r3.apk2024-10-25 19:10 13K 
[   ]py3-asif-pyc-0.3.2-r3.apk2024-10-25 19:10 26K 
[   ]py3-ask-0.0.8-r8.apk2024-10-25 19:10 5.0K 
[   ]py3-ask-pyc-0.0.8-r8.apk2024-10-25 19:10 4.5K 
[   ]py3-astral-3.2-r3.apk2024-10-25 19:10 37K 
[   ]py3-astral-pyc-3.2-r3.apk2024-10-25 19:10 59K 
[   ]py3-asyauth-0.0.21-r1.apk2025-05-29 12:00 77K 
[   ]py3-asyauth-pyc-0.0.21-r1.apk2025-05-29 12:00 169K 
[   ]py3-async-lru-2.0.5-r0.apk2025-03-26 11:51 7.0K 
[   ]py3-async-lru-pyc-2.0.5-r0.apk2025-03-26 11:51 8.5K 
[   ]py3-asysocks-0.2.13-r1.apk2025-05-29 12:00 84K 
[   ]py3-asysocks-pyc-0.2.13-r1.apk2025-05-29 12:00 229K 
[   ]py3-avro-1.11.3-r1.apk2024-10-25 19:10 98K 
[   ]py3-avro-pyc-1.11.3-r1.apk2024-10-25 19:10 191K 
[   ]py3-b2sdk-2.8.1-r0.apk2025-05-03 20:03 215K 
[   ]py3-b2sdk-pyc-2.8.1-r0.apk2025-05-03 20:03 403K 
[   ]py3-banal-1.0.6-r4.apk2024-10-25 19:10 6.9K 
[   ]py3-banal-pyc-1.0.6-r4.apk2024-10-25 19:10 7.2K 
[   ]py3-bandwidth-sdk-3.1.0-r8.apk2024-10-25 19:10 46K 
[   ]py3-bandwidth-sdk-pyc-3.1.0-r8.apk2024-10-25 19:10 69K 
[   ]py3-barcodenumber-0.2.1-r10.apk2024-10-25 19:10 16K 
[   ]py3-barcodenumber-pyc-0.2.1-r10.apk2024-10-25 19:10 4.3K 
[   ]py3-base58-2.1.1-r2.apk2024-10-25 19:10 11K 
[   ]py3-beartype-0.21.0-r0.apk2025-05-25 13:46 926K 
[   ]py3-beartype-pyc-0.21.0-r0.apk2025-05-25 13:46 673K 
[   ]py3-bencode-4.0.0-r1.apk2024-10-25 19:10 17K 
[   ]py3-bencode-pyc-4.0.0-r1.apk2024-10-25 19:10 10K 
[   ]py3-bibtexparser-1.4.3-r0.apk2025-01-26 06:27 40K 
[   ]py3-bibtexparser-pyc-1.4.3-r0.apk2025-01-26 06:27 49K 
[   ]py3-bidict-0.23.1-r1.apk2024-10-25 19:10 28K 
[   ]py3-bidict-pyc-0.23.1-r1.apk2024-10-25 19:10 29K 
[   ]py3-bite-parser-0.2.5-r0.apk2024-10-28 21:51 14K 
[   ]py3-bite-parser-pyc-0.2.5-r0.apk2024-10-28 21:51 23K 
[   ]py3-bitstruct-8.19.0-r1.apk2024-10-25 19:10 36K 
[   ]py3-bitstruct-pyc-8.19.0-r1.apk2024-10-25 19:10 13K 
[   ]py3-bleak-0.22.3-r0.apk2024-10-25 19:10 370K 
[   ]py3-blockchain-1.4.4-r7.apk2024-10-25 19:10 11K 
[   ]py3-blockchain-pyc-1.4.4-r7.apk2024-10-25 19:10 18K 
[   ]py3-blockdiag-3.0.0-r6.apk2025-01-10 16:19 68K 
[   ]py3-blockdiag-pyc-3.0.0-r6.apk2025-01-10 16:19 149K 
[   ]py3-blockdiag-tests-3.0.0-r6.apk2025-01-10 16:19 2.5M 
[   ]py3-bookkeeper-4.17.2-r0.apk2025-07-13 21:43 43K 
[   ]py3-bookkeeper-pyc-4.17.2-r0.apk2025-07-13 21:43 67K 
[   ]py3-bottle-api-0.0.4-r7.apk2024-10-25 19:10 4.9K 
[   ]py3-bottle-api-pyc-0.0.4-r7.apk2024-10-25 19:10 5.2K 
[   ]py3-bottle-pgsql-0.2-r5.apk2024-10-25 19:10 4.3K 
[   ]py3-bottle-redis-0.2.3-r6.apk2024-10-25 19:10 3.3K 
[   ]py3-bottle-redis-pyc-0.2.3-r6.apk2024-10-25 19:10 3.1K 
[   ]py3-bottle-renderer-0.1.1-r9.apk2024-10-25 19:10 4.0K 
[   ]py3-bottle-renderer-pyc-0.1.1-r9.apk2024-10-25 19:10 3.7K 
[   ]py3-bottle-request-0.2.0-r9.apk2024-10-25 19:10 3.2K 
[   ]py3-bottle-request-pyc-0.2.0-r9.apk2024-10-25 19:10 2.6K 
[   ]py3-bottle-rest-0.6.0-r1.apk2024-10-25 19:10 6.1K 
[   ]py3-bottle-rest-pyc-0.6.0-r1.apk2024-10-25 19:10 5.2K 
[   ]py3-bottle-session-1.0-r6.apk2024-10-25 19:10 10K 
[   ]py3-bottle-session-pyc-1.0-r6.apk2024-10-25 19:10 7.8K 
[   ]py3-bottle-sqlalchemy-0.4.3-r8.apk2024-10-25 19:10 4.8K 
[   ]py3-bottle-sqlalchemy-pyc-0.4.3-r8.apk2024-10-25 19:10 5.6K 
[   ]py3-bottle-sqlite-0.2.0-r7.apk2024-10-25 19:10 4.7K 
[   ]py3-bottle-sqlite-pyc-0.2.0-r7.apk2024-10-25 19:10 5.2K 
[   ]py3-bottle-websocket-0.2.9-r8.apk2024-10-25 19:10 4.6K 
[   ]py3-bottle-websocket-pyc-0.2.9-r8.apk2024-10-25 19:10 3.1K 
[   ]py3-bottle-werkzeug-0.1.1-r9.apk2024-10-25 19:10 4.1K 
[   ]py3-bottle-werkzeug-pyc-0.1.1-r9.apk2024-10-25 19:10 4.3K 
[   ]py3-bson-0.5.10-r6.apk2024-10-25 19:10 12K 
[   ]py3-bson-pyc-0.5.10-r6.apk2024-10-25 19:10 19K 
[   ]py3-businesstime-0.3.0-r9.apk2024-10-25 19:10 11K 
[   ]py3-businesstime-pyc-0.3.0-r9.apk2024-10-25 19:10 16K 
[   ]py3-c3d-0.5.2-r1.apk2024-10-25 19:10 32K 
[   ]py3-c3d-pyc-0.5.2-r1.apk2024-10-25 19:10 54K 
[   ]py3-caldav-2.0.1-r0.apk2025-06-26 14:01 88K 
[   ]py3-caldav-pyc-2.0.1-r0.apk2025-06-26 14:01 118K 
[   ]py3-cassandra-driver-3.29.2-r0.apk2024-10-25 19:10 286K 
[   ]py3-cassandra-driver-pyc-3.29.2-r0.apk2024-10-25 19:10 560K 
[   ]py3-catkin-pkg-0.5.2-r4.apk2024-10-25 19:10 57K 
[   ]py3-catkin-pkg-pyc-0.5.2-r4.apk2024-10-25 19:10 103K 
[   ]py3-cchardet-2.1.7-r5.apk2024-10-25 19:10 123K 
[   ]py3-cchardet-pyc-2.1.7-r5.apk2024-10-25 19:10 3.1K 
[   ]py3-cdio-2.1.1-r6.apk2025-01-26 06:27 100K 
[   ]py3-cdio-pyc-2.1.1-r6.apk2025-01-26 06:27 43K 
[   ]py3-certauth-1.3.0-r1.apk2024-10-25 19:10 8.7K 
[   ]py3-certauth-pyc-1.3.0-r1.apk2024-10-25 19:10 9.1K 
[   ]py3-chameleon-4.6.0-r0.apk2025-07-06 09:16 97K 
[   ]py3-chameleon-pyc-4.6.0-r0.apk2025-07-06 09:16 131K 
[   ]py3-ciso8601-2.3.1-r1.apk2024-10-25 19:10 16K 
[   ]py3-cjkwrap-2.2-r6.apk2025-05-14 19:18 4.5K 
[   ]py3-cjkwrap-pyc-2.2-r6.apk2025-05-14 19:18 5.3K 
[   ]py3-clang-next-22.0.0_pre20250725-r0.apk2025-07-25 09:23 34K 
[   ]py3-clang-next-pyc-22.0.0_pre20250725-r0.apk2025-07-25 09:23 60K 
[   ]py3-class-doc-1.25-r1.apk2024-10-25 19:10 6.1K 
[   ]py3-class-doc-pyc-1.25-r1.apk2024-10-25 19:10 8.7K 
[   ]py3-click-completion-0.5.2-r1.apk2024-10-25 19:10 11K 
[   ]py3-click-completion-pyc-0.5.2-r1.apk2024-10-25 19:10 14K 
[   ]py3-click-default-group-1.2.4-r1.apk2024-10-25 19:10 5.1K 
[   ]py3-click-default-group-pyc-1.2.4-r1.apk2024-10-25 19:10 4.5K 
[   ]py3-click-threading-0.5.0-r5.apk2024-10-25 19:10 6.3K 
[   ]py3-click-threading-pyc-0.5.0-r5.apk2024-10-25 19:10 7.9K 
[   ]py3-clickclick-20.10.2-r4.apk2024-10-25 19:10 7.9K 
[   ]py3-clickclick-pyc-20.10.2-r4.apk2024-10-25 19:10 9.8K 
[   ]py3-cmd2-2.4.3-r2.apk2024-10-25 19:10 139K 
[   ]py3-cmd2-pyc-2.4.3-r2.apk2024-10-25 19:10 223K 
[   ]py3-cobs-1.2.0-r4.apk2024-10-25 19:10 21K 
[   ]py3-cobs-pyc-1.2.0-r4.apk2024-10-25 19:10 12K 
[   ]py3-colander-2.0-r2.apk2024-10-25 19:10 62K 
[   ]py3-colander-pyc-2.0-r2.apk2024-10-25 19:10 42K 
[   ]py3-colorthief-0.2.1-r1.apk2024-10-25 19:10 7.3K 
[   ]py3-colorthief-pyc-0.2.1-r1.apk2024-10-25 19:10 10K 
[   ]py3-columnize-0.3.11-r4.apk2024-10-25 19:10 8.5K 
[   ]py3-columnize-pyc-0.3.11-r4.apk2024-10-25 19:10 7.5K 
[   ]py3-compdb-0.2.0-r8.apk2024-10-25 19:10 23K 
[   ]py3-compdb-doc-0.2.0-r8.apk2024-10-25 19:10 3.0K 
[   ]py3-compdb-pyc-0.2.0-r8.apk2024-10-25 19:10 40K 
[   ]py3-confluent-kafka-2.9.0-r0.apk2025-05-15 10:05 184K 
[   ]py3-confluent-kafka-pyc-2.9.0-r0.apk2025-05-15 10:05 227K 
[   ]py3-cookiecutter-2.6.0-r1.apk2024-10-25 19:10 35K 
[   ]py3-cookiecutter-doc-2.6.0-r1.apk2024-10-25 19:10 3.7K 
[   ]py3-cookiecutter-pyc-2.6.0-r1.apk2024-10-25 19:10 47K 
[   ]py3-coreapi-2.3.3-r9.apk2024-10-25 19:10 22K 
[   ]py3-coreapi-pyc-2.3.3-r9.apk2024-10-25 19:10 43K 
[   ]py3-crc16-0.1.1-r10.apk2024-10-25 19:10 13K 
[   ]py3-crc16-pyc-0.1.1-r10.apk2024-10-25 19:10 4.7K 
[   ]py3-createrepo_c-1.1.4-r0.apk2024-10-25 19:10 41K 
[   ]py3-createrepo_c-pyc-1.1.4-r0.apk2024-10-25 19:10 15K 
[   ]py3-cryptg-0.5.0-r0.apk2025-05-08 12:22 168K 
[   ]py3-cryptg-pyc-0.5.0-r0.apk2025-05-08 12:22 1.9K 
[   ]py3-cssutils-2.11.1-r1.apk2024-10-25 19:10 155K 
[   ]py3-cssutils-pyc-2.11.1-r1.apk2024-10-25 19:10 279K 
[   ]py3-cstruct-5.3-r1.apk2024-10-25 19:10 22K 
[   ]py3-cstruct-pyc-5.3-r1.apk2024-10-25 19:10 36K 
[   ]py3-cucumber-tag-expressions-6.1.1-r0.apk2024-12-01 20:13 8.7K 
[   ]py3-cucumber-tag-expressions-pyc-6.1.1-r0.apk2024-12-01 20:13 10K 
[   ]py3-cvxpy-1.2.1-r5.apk2024-10-25 19:10 656K 
[   ]py3-cvxpy-pyc-1.2.1-r5.apk2024-10-25 19:10 936K 
[   ]py3-cython-test-exception-raiser-1.0.2-r0.apk2024-10-25 19:10 18K 
[   ]py3-cython-test-exception-raiser-pyc-1.0.2-r0.apk2024-10-25 19:10 1.8K 
[   ]py3-dash-2.18.2-r0.apk2025-04-13 20:28 7.4M 
[   ]py3-dash-bootstrap-components-1.6.0-r0.apk2025-04-13 20:28 16K 
[   ]py3-dataclasses-json-0.6.7-r0.apk2024-10-25 19:10 27K 
[   ]py3-dataclasses-json-pyc-0.6.7-r0.apk2024-10-25 19:10 36K 
[   ]py3-dataclasses-serialization-1.3.1-r3.apk2024-10-25 19:10 11K 
[   ]py3-dataclasses-serialization-pyc-1.3.1-r3.apk2024-10-25 19:10 14K 
[   ]py3-dateparser-1.2.2-r0.apk2025-07-06 19:46 216K 
[   ]py3-dateparser-pyc-1.2.2-r0.apk2025-07-06 19:46 335K 
[   ]py3-daterangestr-0.0.3-r8.apk2024-10-25 19:10 4.4K 
[   ]py3-daterangestr-pyc-0.0.3-r8.apk2024-10-25 19:10 4.2K 
[   ]py3-dbus-fast-2.44.1-r0.apk2025-05-03 20:03 783K 
[   ]py3-dbus-fast-doc-2.44.1-r0.apk2025-05-03 20:03 6.3K 
[   ]py3-dbus-fast-pyc-2.44.1-r0.apk2025-05-03 20:03 129K 
[   ]py3-deluge-client-1.10.2-r0.apk2024-10-25 19:10 13K 
[   ]py3-deluge-client-doc-1.10.2-r0.apk2024-10-25 19:10 2.3K 
[   ]py3-deluge-client-pyc-1.10.2-r0.apk2024-10-25 19:10 20K 
[   ]py3-dexml-0.5.1-r9.apk2024-10-25 19:10 22K 
[   ]py3-dexml-pyc-0.5.1-r9.apk2024-10-25 19:10 37K 
[   ]py3-discid-1.2.0-r6.apk2024-10-25 19:10 24K 
[   ]py3-discid-pyc-1.2.0-r6.apk2024-10-25 19:10 13K 
[   ]py3-distorm3-3.5.2-r6.apk2024-10-25 19:10 47K 
[   ]py3-distorm3-pyc-3.5.2-r6.apk2024-10-25 19:10 49K 
[   ]py3-django-compress-staticfiles-1.0.1_beta0-r6.apk2024-10-25 19:10 15K 
[   ]py3-django-compress-staticfiles-pyc-1.0.1_beta0-r6.apk2024-10-25 19:10 15K 
[   ]py3-django-suit-0.2.28-r8.apk2024-10-25 19:10 366K 
[   ]py3-django-suit-pyc-0.2.28-r8.apk2024-10-25 19:10 32K 
[   ]py3-django-taggit-serializer-0.1.7-r8.apk2024-10-25 19:10 4.0K 
[   ]py3-django-taggit-serializer-pyc-0.1.7-r8.apk2024-10-25 19:10 5.0K 
[   ]py3-dnslib-0.9.25-r0.apk2024-10-25 19:10 52K 
[   ]py3-dnslib-pyc-0.9.25-r0.apk2024-10-25 19:10 109K 
[   ]py3-dogpile.cache-1.3.3-r1.apk2025-05-14 18:17 53K 
[   ]py3-dogpile.cache-pyc-1.3.3-r1.apk2025-05-14 18:17 90K 
[   ]py3-doi-0.2-r0.apk2025-04-13 20:28 6.2K 
[   ]py3-doi-pyc-0.2-r0.apk2025-04-13 20:28 4.7K 
[   ]py3-doit-0.36.0-r5.apk2024-10-25 19:10 77K 
[   ]py3-doit-pyc-0.36.0-r5.apk2024-10-25 19:10 133K 
[   ]py3-dominate-2.9.1-r1.apk2024-10-25 19:10 25K 
[   ]py3-dominate-pyc-2.9.1-r1.apk2024-10-25 19:10 34K 
[   ]py3-dotty-dict-1.3.1-r4.apk2024-10-25 19:10 8.4K 
[   ]py3-dotty-dict-pyc-1.3.1-r4.apk2024-10-25 19:10 8.7K 
[   ]py3-downloader-cli-0.3.4-r2.apk2025-05-14 18:17 11K 
[   ]py3-downloader-cli-pyc-0.3.4-r2.apk2025-05-14 18:17 14K 
[   ]py3-dpath-2.2.0-r0.apk2024-10-25 19:10 17K 
[   ]py3-dpath-pyc-2.2.0-r0.apk2024-10-25 19:10 18K 
[   ]py3-drf-yasg-1.21.10-r0.apk2025-07-15 08:28 4.0M 
[   ]py3-drf-yasg-pyc-1.21.10-r0.apk2025-07-15 08:28 98K 
[   ]py3-dunamai-1.24.1-r0.apk2025-06-30 07:25 27K 
[   ]py3-dunamai-pyc-1.24.1-r0.apk2025-06-30 07:25 43K 
[   ]py3-duniterpy-1.1.1-r3.apk2024-10-25 19:10 221K 
[   ]py3-dweepy-0.3.0-r7.apk2024-10-25 19:10 9.1K 
[   ]py3-dweepy-pyc-0.3.0-r7.apk2024-10-25 19:10 6.3K 
[   ]py3-ecbdata-0.1.1-r0.apk2025-04-13 23:33 13K 
[   ]py3-ecos-2.0.11-r4.apk2024-10-25 19:10 28K 
[   ]py3-ecos-pyc-2.0.11-r4.apk2024-10-25 19:10 3.6K 
[   ]py3-edalize-0.5.4-r0.apk2024-10-25 19:10 123K 
[   ]py3-edalize-pyc-0.5.4-r0.apk2024-10-25 19:10 190K 
[   ]py3-editdistance-s-1.0.0-r6.apk2024-10-25 19:10 15K 
[   ]py3-editdistance-s-pyc-1.0.0-r6.apk2024-10-25 19:10 2.0K 
[   ]py3-empy-3.3.4-r7.apk2024-10-25 19:10 39K 
[   ]py3-empy-pyc-3.3.4-r7.apk2024-10-25 19:10 58K 
[   ]py3-enzyme-0.5.2-r0.apk2025-07-12 16:01 23K 
[   ]py3-enzyme-pyc-0.5.2-r0.apk2025-07-12 16:01 19K 
[   ]py3-eradicate-2.3.0-r2.apk2024-10-25 19:10 7.6K 
[   ]py3-eradicate-doc-2.3.0-r2.apk2024-10-25 19:10 2.5K 
[   ]py3-eradicate-pyc-2.3.0-r2.apk2024-10-25 19:10 8.3K 
[   ]py3-euclid3-0.01-r8.apk2024-10-25 19:10 14K 
[   ]py3-euclid3-pyc-0.01-r8.apk2024-10-25 19:10 33K 
[   ]py3-eventlet-0.38.1-r0.apk2024-12-11 21:36 332K 
[   ]py3-eventlet-pyc-0.38.1-r0.apk2024-12-11 21:36 336K 
[   ]py3-evohome-client-0.3.9-r0.apk2025-07-13 21:33 19K 
[   ]py3-evohome-client-pyc-0.3.9-r0.apk2025-07-13 21:33 27K 
[   ]py3-fastavro-1.11.1-r0.apk2025-05-19 09:25 429K 
[   ]py3-fastavro-pyc-1.11.1-r0.apk2025-05-19 09:25 82K 
[   ]py3-fastdiff-0.3.0-r5.apk2024-10-25 19:10 38K 
[   ]py3-fastdiff-pyc-0.3.0-r5.apk2024-10-25 19:10 4.2K 
[   ]py3-feedgen-1.0.0-r1.apk2024-10-25 19:10 40K 
[   ]py3-feedgen-pyc-1.0.0-r1.apk2024-10-25 19:10 62K 
[   ]py3-feedgenerator-2.1.0-r2.apk2024-10-25 19:10 18K 
[   ]py3-feedgenerator-pyc-2.1.0-r2.apk2024-10-25 19:10 27K 
[   ]py3-ffmpeg-0.2.0-r5.apk2025-05-14 18:17 24K 
[   ]py3-ffmpeg-pyc-0.2.0-r5.apk2025-05-14 18:17 33K 
[   ]py3-firmata-1.0.3-r10.apk2024-10-25 19:10 14K 
[   ]py3-firmata-pyc-1.0.3-r10.apk2024-10-25 19:10 21K 
[   ]py3-flake8-blind-except-0.2.1-r4.apk2024-10-25 19:10 5.2K 
[   ]py3-flake8-blind-except-pyc-0.2.1-r4.apk2024-10-25 19:10 2.6K 
[   ]py3-flake8-builtins-2.5.0-r0.apk2024-12-07 21:51 13K 
[   ]py3-flake8-builtins-pyc-2.5.0-r0.apk2024-12-07 21:51 7.9K 
[   ]py3-flake8-copyright-0.2.4-r3.apk2024-10-25 19:10 18K 
[   ]py3-flake8-copyright-pyc-0.2.4-r3.apk2024-10-25 19:10 3.3K 
[   ]py3-flake8-debugger-4.1.2-r4.apk2024-10-25 19:10 6.2K 
[   ]py3-flake8-debugger-pyc-4.1.2-r4.apk2024-10-25 19:10 6.0K 
[   ]py3-flake8-import-order-0.18.2-r4.apk2024-10-25 19:10 15K 
[   ]py3-flake8-import-order-pyc-0.18.2-r4.apk2024-10-25 19:10 17K 
[   ]py3-flake8-isort-6.1.1-r1.apk2024-10-25 19:10 18K 
[   ]py3-flake8-isort-pyc-6.1.1-r1.apk2024-10-25 19:10 5.4K 
[   ]py3-flake8-polyfill-1.0.2-r5.apk2024-10-25 19:10 7.1K 
[   ]py3-flake8-polyfill-pyc-1.0.2-r5.apk2024-10-25 19:10 5.7K 
[   ]py3-flake8-print-5.0.0-r5.apk2024-10-25 19:10 6.7K 
[   ]py3-flake8-print-pyc-5.0.0-r5.apk2024-10-25 19:10 4.4K 
[   ]py3-flake8-snippets-0.2-r8.apk2024-10-25 19:10 5.3K 
[   ]py3-flake8-snippets-pyc-0.2-r8.apk2024-10-25 19:10 3.7K 
[   ]py3-flake8-todo-0.7-r7.apk2024-10-25 19:10 3.6K 
[   ]py3-flake8-todo-pyc-0.7-r7.apk2024-10-25 19:10 2.2K 
[   ]py3-flask-accept-0.0.7-r0.apk2025-07-12 15:27 5.6K 
[   ]py3-flask-accept-pyc-0.0.7-r0.apk2025-07-12 15:27 3.7K 
[   ]py3-flask-admin-1.6.1-r3.apk2024-10-25 19:10 6.5M 
[   ]py3-flask-admin-pyc-1.6.1-r3.apk2024-10-25 19:10 358K 
[   ]py3-flask-autorouter-0.2.2-r3.apk2024-10-25 19:10 5.1K 
[   ]py3-flask-autorouter-pyc-0.2.2-r3.apk2024-10-25 19:10 5.0K 
[   ]py3-flask-basicauth-0.2.0-r9.apk2024-10-25 19:10 5.3K 
[   ]py3-flask-basicauth-pyc-0.2.0-r9.apk2024-10-25 19:10 4.1K 
[   ]py3-flask-bcrypt-1.0.1-r5.apk2024-10-25 19:10 7.1K 
[   ]py3-flask-bcrypt-pyc-1.0.1-r5.apk2024-10-25 19:10 5.8K 
[   ]py3-flask-bootstrap-3.3.7.1-r9.apk2025-05-13 22:00 449K 
[   ]py3-flask-bootstrap-pyc-3.3.7.1-r9.apk2025-05-13 22:00 11K 
[   ]py3-flask-cache-0.13.1-r9.apk2024-10-25 19:10 13K 
[   ]py3-flask-cache-pyc-0.13.1-r9.apk2024-10-25 19:10 18K 
[   ]py3-flask-cdn-1.5.3-r8.apk2024-10-25 19:10 4.8K 
[   ]py3-flask-cdn-pyc-1.5.3-r8.apk2024-10-25 19:10 4.1K 
[   ]py3-flask-components-0.1.1-r9.apk2024-10-25 19:10 3.9K 
[   ]py3-flask-components-pyc-0.1.1-r9.apk2024-10-25 19:10 3.3K 
[   ]py3-flask-dbconfig-0.3.12-r8.apk2024-10-25 19:10 86K 
[   ]py3-flask-dbconfig-pyc-0.3.12-r8.apk2024-10-25 19:10 6.3K 
[   ]py3-flask-flatpages-0.8.3-r0.apk2024-12-06 22:59 11K 
[   ]py3-flask-flatpages-pyc-0.8.3-r0.apk2024-12-06 22:59 14K 
[   ]py3-flask-gzip-0.2-r8.apk2024-10-25 19:10 3.2K 
[   ]py3-flask-gzip-pyc-0.2-r8.apk2024-10-25 19:10 2.8K 
[   ]py3-flask-headers-1.0-r9.apk2024-10-25 19:10 3.2K 
[   ]py3-flask-headers-pyc-1.0-r9.apk2024-10-25 19:10 2.5K 
[   ]py3-flask-httpauth-4.8.0-r2.apk2024-10-25 19:10 8.0K 
[   ]py3-flask-httpauth-pyc-4.8.0-r2.apk2024-10-25 19:10 11K 
[   ]py3-flask-json-schema-0.0.5-r4.apk2024-10-25 19:10 4.1K 
[   ]py3-flask-json-schema-pyc-0.0.5-r4.apk2024-10-25 19:10 3.4K 
[   ]py3-flask-limiter-3.10.1-r0.apk2025-01-26 06:27 27K 
[   ]py3-flask-limiter-pyc-3.10.1-r0.apk2025-01-26 06:27 47K 
[   ]py3-flask-loopback-1.4.7-r7.apk2024-10-25 19:10 5.6K 
[   ]py3-flask-loopback-pyc-1.4.7-r7.apk2024-10-25 19:10 7.9K 
[   ]py3-flask-mailman-1.1.1-r0.apk2024-10-25 19:10 16K 
[   ]py3-flask-mailman-pyc-1.1.1-r0.apk2024-10-25 19:10 26K 
[   ]py3-flask-markdown-0.3-r8.apk2024-10-25 19:10 5.6K 
[   ]py3-flask-markdown-pyc-0.3-r8.apk2024-10-25 19:10 3.9K 
[   ]py3-flask-migrate-4.1.0-r0.apk2025-07-13 21:53 13K 
[   ]py3-flask-migrate-pyc-4.1.0-r0.apk2025-07-13 21:53 18K 
[   ]py3-flask-paginate-0.8.1-r6.apk2024-10-25 19:10 8.2K 
[   ]py3-flask-paginate-pyc-0.8.1-r6.apk2024-10-25 19:10 11K 
[   ]py3-flask-peewee-3.0.6-r0.apk2024-10-25 19:10 172K 
[   ]py3-flask-peewee-pyc-3.0.6-r0.apk2024-10-25 19:10 95K 
[   ]py3-flask-qrcode-3.2.0-r0.apk2024-12-12 07:39 18K 
[   ]py3-flask-qrcode-pyc-3.2.0-r0.apk2024-12-12 07:39 6.2K 
[   ]py3-flask-restaction-0.25.3-r8.apk2024-10-25 19:10 115K 
[   ]py3-flask-restaction-pyc-0.25.3-r8.apk2024-10-25 19:10 20K 
[   ]py3-flask-restless-0.17.0-r9.apk2024-10-25 19:10 40K 
[   ]py3-flask-restless-pyc-0.17.0-r9.apk2024-10-25 19:10 59K 
[   ]py3-flask-security-5.6.1-r0.apk2025-05-03 20:03 295K 
[   ]py3-flask-security-pyc-5.6.1-r0.apk2025-05-03 20:03 227K 
[   ]py3-flask-themer-2.0.0-r2.apk2024-10-25 19:10 7.9K 
[   ]py3-flask-themer-pyc-2.0.0-r2.apk2024-10-25 19:10 7.0K 
[   ]py3-forbiddenfruit-0.1.4-r2.apk2024-10-25 19:10 9.0K 
[   ]py3-forbiddenfruit-pyc-0.1.4-r2.apk2024-10-25 19:10 9.7K 
[   ]py3-fpdf-1.7.2-r5.apk2024-10-25 19:10 40K 
[   ]py3-fpdf-pyc-1.7.2-r5.apk2024-10-25 19:10 89K 
[   ]py3-freetype-py-2.5.1-r0.apk2024-10-25 19:10 161K 
[   ]py3-furl-2.1.4-r0.apk2025-07-13 21:52 28K 
[   ]py3-furl-pyc-2.1.4-r0.apk2025-07-13 21:52 32K 
[   ]py3-geoip-1.3.2-r4.apk2024-10-25 19:10 23K 
[   ]py3-gevent-websocket-0.10.1-r8.apk2024-10-25 19:10 20K 
[   ]py3-gevent-websocket-pyc-0.10.1-r8.apk2024-10-25 19:10 30K 
[   ]py3-git-versioner-7.1-r1.apk2024-10-25 19:10 12K 
[   ]py3-git-versioner-pyc-7.1-r1.apk2024-10-25 19:10 14K 
[   ]py3-github3-4.0.1-r1.apk2024-10-25 19:10 128K 
[   ]py3-github3-pyc-4.0.1-r1.apk2024-10-25 19:10 227K 
[   ]py3-glob2-0.7-r6.apk2024-10-25 19:10 10K 
[   ]py3-glob2-pyc-0.7-r6.apk2024-10-25 19:10 13K 
[   ]py3-gls-1.3.1-r1.apk2024-10-25 19:10 47K 
[   ]py3-gls-pyc-1.3.1-r1.apk2024-10-25 19:10 84K 
[   ]py3-google-trans-new-1.1.9-r2.apk2024-10-25 19:10 9.2K 
[   ]py3-google-trans-new-pyc-1.1.9-r2.apk2024-10-25 19:10 11K 
[   ]py3-googletrans-3.0.0-r5.apk2024-10-25 19:10 15K 
[   ]py3-googletrans-pyc-3.0.0-r5.apk2024-10-25 19:10 17K 
[   ]py3-grequests-0.7.0-r3.apk2025-05-14 18:17 6.7K 
[   ]py3-grequests-pyc-0.7.0-r3.apk2025-05-14 18:17 5.8K 
[   ]py3-gtkspellcheck-5.0.3-r0.apk2024-12-07 20:32 45K 
[   ]py3-gtkspellcheck-pyc-5.0.3-r0.apk2024-12-07 20:32 30K 
[   ]py3-halo-0.0.31-r5.apk2024-10-25 19:10 11K 
[   ]py3-halo-pyc-0.0.31-r5.apk2024-10-25 19:10 14K 
[   ]py3-hatch-openzim-0.2.0-r0.apk2024-10-25 19:10 25K 
[   ]py3-hatch-openzim-bootstrap-0.1.0-r0.apk2024-10-25 19:10 24K 
[   ]py3-hatch-openzim-bootstrap-pyc-0.1.0-r0.apk2024-10-25 19:10 13K 
[   ]py3-hatch-openzim-pyc-0.2.0-r0.apk2024-10-25 19:10 13K 
[   ]py3-helper-2.5.0-r5.apk2024-10-25 19:10 19K 
[   ]py3-helper-pyc-2.5.0-r5.apk2024-10-25 19:10 28K 
[   ]py3-hfst-3.16.2-r0.apk2025-04-02 17:03 352K 
[   ]py3-hg-git-1.1.1-r1.apk2024-10-25 19:10 70K 
[   ]py3-hg-git-pyc-1.1.1-r1.apk2024-10-25 19:10 107K 
[   ]py3-highctidh-1.0.2024092800-r0.apk2024-11-25 20:23 376K 
[   ]py3-highctidh-pyc-1.0.2024092800-r0.apk2024-11-25 20:23 12K 
[   ]py3-himitsu-0.0.3-r0.apk2025-07-24 17:00 5.1K 
[   ]py3-himitsu-pyc-0.0.3-r0.apk2025-07-24 17:00 5.8K 
[   ]py3-hishel-0.1.3-r0.apk2025-07-08 23:15 33K 
[   ]py3-hishel-pyc-0.1.3-r0.apk2025-07-08 23:15 73K 
[   ]py3-html5-parser-0.4.12-r1.apk2024-10-25 19:10 167K 
[   ]py3-html5-parser-pyc-0.4.12-r1.apk2024-10-25 19:10 22K 
[   ]py3-hurry.filesize-0.9-r8.apk2024-10-25 19:10 4.6K 
[   ]py3-hurry.filesize-pyc-0.9-r8.apk2024-10-25 19:10 3.3K 
[   ]py3-hypercorn-0.17.3-r0.apk2025-07-23 06:34 47K 
[   ]py3-hypercorn-pyc-0.17.3-r0.apk2025-07-23 06:34 120K 
[   ]py3-igraph-0.11.9-r0.apk2025-06-11 20:19 401K 
[   ]py3-igraph-dev-0.11.9-r0.apk2025-06-11 20:19 2.6K 
[   ]py3-igraph-pyc-0.11.9-r0.apk2025-06-11 20:19 371K 
[   ]py3-imageio-2.37.0-r0.apk2025-07-12 06:30 285K 
[   ]py3-imageio-ffmpeg-0.4.9-r1.apk2024-10-25 19:10 16K 
[   ]py3-imageio-ffmpeg-pyc-0.4.9-r1.apk2024-10-25 19:10 20K 
[   ]py3-imageio-pyc-2.37.0-r0.apk2025-07-12 06:30 504K 
[   ]py3-imdbpy-2021.4.18-r5.apk2024-10-25 19:10 229K 
[   ]py3-imdbpy-pyc-2021.4.18-r5.apk2024-10-25 19:10 243K 
[   ]py3-incoming-0.3.1-r8.apk2024-10-25 19:10 13K 
[   ]py3-incoming-pyc-0.3.1-r8.apk2024-10-25 19:10 20K 
[   ]py3-infinity-1.5-r6.apk2024-10-25 19:10 4.4K 
[   ]py3-infinity-pyc-1.5-r6.apk2024-10-25 19:10 3.7K 
[   ]py3-iniparse-0.5-r7.apk2024-10-25 19:10 19K 
[   ]py3-iniparse-doc-0.5-r7.apk2024-10-25 19:10 10K 
[   ]py3-iniparse-pyc-0.5-r7.apk2024-10-25 19:10 25K 
[   ]py3-intervals-0.9.2-r5.apk2024-10-25 19:10 9.4K 
[   ]py3-intervals-pyc-0.9.2-r5.apk2024-10-25 19:10 15K 
[   ]py3-ioctl-opt-1.3-r0.apk2025-01-27 21:37 12K 
[   ]py3-ioctl-opt-pyc-1.3-r0.apk2025-01-27 21:37 4.6K 
[   ]py3-irc-20.4.1-r0.apk2024-10-25 19:10 41K 
[   ]py3-irc-pyc-20.4.1-r0.apk2024-10-25 19:10 71K 
[   ]py3-isbnlib-3.10.14-r0.apk2025-01-26 06:27 43K 
[   ]py3-isbnlib-pyc-3.10.14-r0.apk2025-01-26 06:27 67K 
[   ]py3-iso639-lang-2.2.3-r0.apk2024-10-25 19:10 269K 
[   ]py3-iso639-lang-pyc-2.2.3-r0.apk2024-10-25 19:10 9.7K 
[   ]py3-itemadapter-0.10.0-r0.apk2024-11-30 20:42 11K 
[   ]py3-itemadapter-pyc-0.10.0-r0.apk2024-11-30 20:42 13K 
[   ]py3-itemloaders-1.3.2-r0.apk2024-10-25 19:10 13K 
[   ]py3-itemloaders-pyc-1.3.2-r0.apk2024-10-25 19:10 17K 
[   ]py3-iterable-io-1.0.0-r0.apk2024-10-25 19:10 6.0K 
[   ]py3-iterable-io-pyc-1.0.0-r0.apk2024-10-25 19:10 5.3K 
[   ]py3-itunespy-1.6-r5.apk2025-05-14 18:17 9.7K 
[   ]py3-itunespy-pyc-1.6-r5.apk2025-05-14 18:17 15K 
[   ]py3-janus-1.2.0-r0.apk2024-12-13 04:57 12K 
[   ]py3-janus-pyc-1.2.0-r0.apk2024-12-13 04:57 13K 
[   ]py3-jaraco.logging-3.4.0-r0.apk2025-06-17 22:15 5.3K 
[   ]py3-jaraco.logging-pyc-3.4.0-r0.apk2025-06-17 22:15 6.1K 
[   ]py3-jaraco.path-3.7.2-r0.apk2024-10-25 19:10 7.6K 
[   ]py3-jaraco.path-pyc-3.7.2-r0.apk2024-10-25 19:10 9.5K 
[   ]py3-jaraco.stream-3.0.4-r0.apk2024-12-14 22:49 6.7K 
[   ]py3-jaraco.stream-pyc-3.0.4-r0.apk2024-12-14 22:49 8.1K 
[   ]py3-jaraco.vcs-2.4.1-r0.apk2025-03-11 03:55 10K 
[   ]py3-jaraco.vcs-pyc-2.4.1-r0.apk2025-03-11 03:55 16K 
[   ]py3-jaraco.versioning-1.1.0-r0.apk2024-10-25 19:10 5.9K 
[   ]py3-jaraco.versioning-pyc-1.1.0-r0.apk2024-10-25 19:10 6.1K 
[   ]py3-joserfc-1.2.2-r0.apk2025-07-14 07:46 55K 
[   ]py3-joserfc-pyc-1.2.2-r0.apk2025-07-14 07:46 108K 
[   ]py3-json5-0.9.25-r0.apk2024-11-30 21:06 25K 
[   ]py3-json5-pyc-0.9.25-r0.apk2024-11-30 21:06 29K 
[   ]py3-junit-xml-1.9-r3.apk2024-10-25 19:10 8.3K 
[   ]py3-junit-xml-pyc-1.9-r3.apk2024-10-25 19:10 9.3K 
[   ]py3-jupyterlab-4.3.5-r0.apk2025-03-26 11:51 11M 
[   ]py3-jupyterlab3-3.6.7-r0.apk2025-03-26 11:51 14M 
[   ]py3-jupyterlab_server-2.27.3-r0.apk2025-03-26 11:51 124K 
[   ]py3-kazoo-0_git20211202-r4.apk2024-10-25 19:10 125K 
[   ]py3-kazoo-pyc-0_git20211202-r4.apk2024-10-25 19:10 245K 
[   ]py3-keepalive-0.5-r5.apk2024-10-25 19:10 9.0K 
[   ]py3-keepalive-doc-0.5-r5.apk2024-10-25 19:10 2.0K 
[   ]py3-keepalive-pyc-0.5-r5.apk2024-10-25 19:10 13K 
[   ]py3-kerberos-1.3.1-r5.apk2024-10-25 19:10 17K 
[   ]py3-landlock-1.0.0_pre4-r2.apk2024-10-25 19:10 8.4K 
[   ]py3-landlock-pyc-1.0.0_pre4-r2.apk2024-10-25 19:10 9.5K 
[   ]py3-langcodes-3.3.0-r2.apk2024-10-25 19:10 174K 
[   ]py3-langcodes-pyc-3.3.0-r2.apk2024-10-25 19:10 110K 
[   ]py3-language-data-1.3.0-r0.apk2024-12-01 20:08 5.0M 
[   ]py3-language-data-pyc-1.3.0-r0.apk2024-12-01 20:08 3.0M 
[   ]py3-latex2mathml-3.77.0-r1.apk2024-10-25 19:10 72K 
[   ]py3-latex2mathml-pyc-3.77.0-r1.apk2024-10-25 19:10 35K 
[   ]py3-lib_users-0.15-r4.apk2024-10-25 19:10 16K 
[   ]py3-lib_users-pyc-0.15-r4.apk2024-10-25 19:10 9.5K 
[   ]py3-libacl-0.7.0-r2.apk2024-10-25 19:10 26K 
[   ]py3-libcec-rpi-6.0.2-r4.apk2024-10-25 19:10 106K 
[   ]py3-libguestfs-1.56.1-r0.apk2025-07-23 04:04 180K 
[   ]py3-libiio-0.25-r2.apk2024-10-25 19:10 13K 
[   ]py3-liblarch-3.2.0-r6.apk2024-12-08 21:43 30K 
[   ]py3-liblarch-pyc-3.2.0-r6.apk2024-12-08 21:43 50K 
[   ]py3-libmdbx-0.10.2-r7.apk2024-10-25 19:10 28K 
[   ]py3-libmdbx-pyc-0.10.2-r7.apk2024-10-25 19:10 33K 
[   ]py3-libnacl-2.1.0-r1.apk2024-10-25 19:10 20K 
[   ]py3-libnacl-pyc-2.1.0-r1.apk2024-10-25 19:10 30K 
[   ]py3-libpyshell-0.4.1-r1.apk2025-06-10 09:40 12K 
[   ]py3-libpyshell-pyc-0.4.1-r1.apk2025-06-10 09:40 18K 
[   ]py3-librtmp-0.3.0-r6.apk2024-10-25 19:10 36K 
[   ]py3-librtmp-pyc-0.3.0-r6.apk2024-10-25 19:10 25K 
[   ]py3-limits-3.14.1-r0.apk2024-12-25 18:57 33K 
[   ]py3-limits-pyc-3.14.1-r0.apk2024-12-25 18:57 71K 
[   ]py3-linkify-it-py-2.0.3-r1.apk2024-10-25 19:10 21K 
[   ]py3-linkify-it-py-pyc-2.0.3-r1.apk2024-10-25 19:10 23K 
[   ]py3-linux-procfs-0.7.3-r0.apk2025-01-13 21:19 14K 
[   ]py3-linux-procfs-pyc-0.7.3-r0.apk2025-01-13 21:19 22K 
[   ]py3-litex-hub-modules-2024.04-r0.apk2024-10-25 19:10 1.6K 
[   ]py3-litex-hub-modules-pyc-2024.04-r0.apk2024-10-25 19:10 1.1M 
[   ]py3-litex-hub-pythondata-cpu-blackparrot-2024.04-r0.apk2024-10-25 19:10 5.6M 
[   ]py3-litex-hub-pythondata-cpu-cv32e40p-2024.04-r0.apk2024-10-25 19:10 1.9M 
[   ]py3-litex-hub-pythondata-cpu-cv32e41p-2024.04-r0.apk2024-10-25 19:10 934K 
[   ]py3-litex-hub-pythondata-cpu-cva5-2024.04-r0.apk2024-10-25 19:10 500K 
[   ]py3-litex-hub-pythondata-cpu-cva6-2024.04-r0.apk2024-10-25 19:10 10M 
[   ]py3-litex-hub-pythondata-cpu-ibex-2024.04-r0.apk2024-10-25 19:10 1.8M 
[   ]py3-litex-hub-pythondata-cpu-lm32-2024.04-r0.apk2024-10-25 19:10 112K 
[   ]py3-litex-hub-pythondata-cpu-marocchino-2024.04-r0.apk2024-10-25 19:10 208K 
[   ]py3-litex-hub-pythondata-cpu-microwatt-2024.04-r0.apk2024-10-25 19:10 19M 
[   ]py3-litex-hub-pythondata-cpu-minerva-2024.04-r0.apk2024-10-25 19:10 45K 
[   ]py3-litex-hub-pythondata-cpu-mor1kx-2024.04-r0.apk2024-10-25 19:10 221K 
[   ]py3-litex-hub-pythondata-cpu-naxriscv-2024.04-r0.apk2024-10-25 19:10 7.6K 
[   ]py3-litex-hub-pythondata-cpu-picorv32-2024.04-r0.apk2024-10-25 19:10 230K 
[   ]py3-litex-hub-pythondata-cpu-rocket-2024.04-r0.apk2024-10-25 19:10 57M 
[   ]py3-litex-hub-pythondata-cpu-serv-2024.04-r0.apk2024-10-25 19:10 675K 
[   ]py3-litex-hub-pythondata-cpu-vexriscv-2024.04-r0.apk2024-10-25 19:10 713K 
[   ]py3-litex-hub-pythondata-cpu-vexriscv_smp-2024.04-r0.apk2024-10-25 19:10 2.4M 
[   ]py3-litex-hub-pythondata-misc-tapcfg-2024.04-r0.apk2024-10-25 19:10 58K 
[   ]py3-litex-hub-pythondata-software-compiler_rt-2024.04-r0.apk2024-10-25 19:10 2.2M 
[   ]py3-litex-hub-pythondata-software-picolibc-2024.04-r0.apk2024-10-25 19:10 4.7M 
[   ]py3-litex-hub-valentyusb-2024.04-r0.apk2024-10-25 19:10 112K 
[   ]py3-livestream-2.1.0-r0.apk2024-11-25 22:22 766K 
[   ]py3-livestream-pyc-2.1.0-r0.apk2024-11-25 22:22 30K 
[   ]py3-log-symbols-0.0.14-r5.apk2024-10-25 19:10 4.2K 
[   ]py3-log-symbols-pyc-0.0.14-r5.apk2024-10-25 19:10 3.1K 
[   ]py3-logtop-0.7-r0.apk2024-10-25 19:10 21K 
[   ]py3-logtop-pyc-0.7-r0.apk2024-10-25 19:10 4.1K 
[   ]py3-lsp-black-2.0.0-r1.apk2024-10-25 19:10 7.5K 
[   ]py3-lsp-black-pyc-2.0.0-r1.apk2024-10-25 19:10 6.4K 
[   ]py3-lsp-mypy-0.7.0-r0.apk2025-02-17 15:08 13K 
[   ]py3-lsp-mypy-pyc-0.7.0-r0.apk2025-02-17 15:08 13K 
[   ]py3-lsprotocol-2023.0.1-r1.apk2024-10-25 19:10 69K 
[   ]py3-lsprotocol-pyc-2023.0.1-r1.apk2024-10-25 19:10 107K 
[   ]py3-luhn-0.2.0-r9.apk2024-10-25 19:10 4.0K 
[   ]py3-luhn-pyc-0.2.0-r9.apk2024-10-25 19:10 2.6K 
[   ]py3-lunr-0.6.2-r4.apk2024-10-25 19:10 32K 
[   ]py3-lunr-pyc-0.6.2-r4.apk2024-10-25 19:10 51K 
[   ]py3-lxmf-0.7.1-r0.apk2025-05-27 21:41 51K 
[   ]py3-lxmf-pyc-0.7.1-r0.apk2025-05-27 21:41 109K 
[   ]py3-ly-0.9.9-r0.apk2025-07-12 21:56 187K 
[   ]py3-ly-doc-0.9.9-r0.apk2025-07-12 21:56 8.1K 
[   ]py3-ly-pyc-0.9.9-r0.apk2025-07-12 21:56 352K 
[   ]py3-lzo-1.16-r1.apk2024-10-25 19:10 17K 
[   ]py3-lzo-pyc-1.16-r1.apk2024-10-25 19:10 2.0K 
[   ]py3-m2crypto-0.41.0-r2.apk2024-10-25 19:10 191K 
[   ]py3-m2crypto-pyc-0.41.0-r2.apk2024-10-25 19:10 123K 
[   ]py3-mando-0.7.1-r3.apk2024-10-25 19:10 22K 
[   ]py3-mando-doc-0.7.1-r3.apk2024-10-25 19:10 4.2K 
[   ]py3-mando-pyc-0.7.1-r3.apk2024-10-25 19:10 36K 
[   ]py3-manuel-1.13.0-r0.apk2024-11-30 20:13 39K 
[   ]py3-manuel-pyc-1.13.0-r0.apk2024-11-30 20:13 26K 
[   ]py3-mapbox-earcut-1.0.1-r2.apk2024-10-25 19:10 60K 
[   ]py3-marisa-trie-1.2.1-r0.apk2024-11-11 13:12 132K 
[   ]py3-markdown2-2.5.0-r0.apk2024-10-25 19:10 47K 
[   ]py3-markdown2-pyc-2.5.0-r0.apk2024-10-25 19:10 75K 
[   ]py3-markdownify-1.1.0-r0.apk2025-04-18 04:55 15K 
[   ]py3-markdownify-pyc-1.1.0-r0.apk2025-04-18 04:55 17K 
[   ]py3-marshmallow-3.26.1-r0.apk2025-02-22 15:37 48K 
[   ]py3-marshmallow-enum-1.5.1-r7.apk2024-10-25 19:10 5.3K 
[   ]py3-marshmallow-enum-pyc-1.5.1-r7.apk2024-10-25 19:10 4.5K 
[   ]py3-marshmallow-pyc-3.26.1-r0.apk2025-02-22 15:37 85K 
[   ]py3-mbedtls-2.10.1-r3.apk2025-05-29 12:00 926K 
[   ]py3-mbedtls-pyc-2.10.1-r3.apk2025-05-29 12:00 27K 
[   ]py3-meshtastic-2.6.0-r1.apk2025-04-14 22:06 507K 
[   ]py3-migen-0.9.2-r2.apk2024-10-25 19:10 143K 
[   ]py3-migen-pyc-0.9.2-r2.apk2024-10-25 19:10 296K 
[   ]py3-milc-1.9.1-r0.apk2025-01-26 06:27 26K 
[   ]py3-milc-pyc-1.9.1-r0.apk2025-01-26 06:27 42K 
[   ]py3-minidb-2.0.8-r0.apk2024-11-13 19:44 10K 
[   ]py3-minidb-pyc-2.0.8-r0.apk2024-11-13 19:44 23K 
[   ]py3-minidump-0.0.24-r1.apk2025-05-29 12:00 64K 
[   ]py3-minidump-pyc-0.0.24-r1.apk2025-05-29 12:00 129K 
[   ]py3-minikerberos-0.4.6-r1.apk2025-05-29 12:00 128K 
[   ]py3-minikerberos-pyc-0.4.6-r1.apk2025-05-29 12:00 268K 
[   ]py3-minio-7.2.13-r0.apk2024-12-25 18:57 76K 
[   ]py3-minio-pyc-7.2.13-r0.apk2024-12-25 18:57 160K 
[   ]py3-mistletoe-1.4.0-r0.apk2025-03-26 11:51 45K 
[   ]py3-mistletoe-pyc-1.4.0-r0.apk2025-03-26 11:51 93K 
[   ]py3-mnemonic-0.21-r0.apk2024-10-25 19:10 95K 
[   ]py3-mnemonic-doc-0.21-r0.apk2024-10-25 19:10 2.4K 
[   ]py3-mnemonic-pyc-0.21-r0.apk2024-10-25 19:10 9.7K 
[   ]py3-modbus-tk-1.1.1-r4.apk2024-10-25 19:10 25K 
[   ]py3-modbus-tk-pyc-1.1.1-r4.apk2024-10-25 19:10 48K 
[   ]py3-modern_colorthief-0.1.7-r0.apk2025-06-16 18:00 725K 
[   ]py3-modern_colorthief-pyc-0.1.7-r0.apk2025-06-16 18:00 2.6K 
[   ]py3-mopidy-jellyfin-1.0.4-r4.apk2024-10-25 19:10 25K 
[   ]py3-mopidy-jellyfin-pyc-1.0.4-r4.apk2024-10-25 19:10 37K 
[   ]py3-mopidy-local-3.3.0-r0.apk2025-01-01 22:38 28K 
[   ]py3-mopidy-local-pyc-3.3.0-r0.apk2025-01-01 22:38 34K 
[   ]py3-mopidy-mpd-3.3.0-r4.apk2024-10-25 19:10 46K 
[   ]py3-mopidy-mpd-pyc-3.3.0-r4.apk2024-10-25 19:10 74K 
[   ]py3-mopidy-spotify-5.0.0_alpha3-r0.apk2024-10-25 19:10 24K 
[   ]py3-mopidy-spotify-pyc-5.0.0_alpha3-r0.apk2024-10-25 19:10 39K 
[   ]py3-mopidy-tidal-0.3.2-r6.apk2024-10-25 19:10 25K 
[   ]py3-mopidy-tidal-pyc-0.3.2-r6.apk2024-10-25 19:10 36K 
[   ]py3-more-properties-1.1.1-r3.apk2024-10-25 19:10 7.4K 
[   ]py3-more-properties-pyc-1.1.1-r3.apk2024-10-25 19:10 8.2K 
[   ]py3-moviepy-1.0.3-r6.apk2024-10-25 19:10 94K 
[   ]py3-moviepy-pyc-1.0.3-r6.apk2024-10-25 19:10 156K 
[   ]py3-msldap-0.5.15-r1.apk2025-05-29 12:00 143K 
[   ]py3-msldap-pyc-0.5.15-r1.apk2025-05-29 12:00 330K 
[   ]py3-mss-10.0.0-r0.apk2024-11-14 13:09 51K 
[   ]py3-natpmp-1.3.2-r1.apk2024-10-25 19:10 9.4K 
[   ]py3-natpmp-pyc-1.3.2-r1.apk2024-10-25 19:10 9.9K 
[   ]py3-ncclient-0.6.13-r5.apk2024-10-25 19:10 68K 
[   ]py3-ncclient-pyc-0.6.13-r5.apk2024-10-25 19:10 107K 
[   ]py3-netifaces2-0.0.22-r0.apk2024-10-25 19:10 184K 
[   ]py3-netifaces2-pyc-0.0.22-r0.apk2024-10-25 19:10 9.2K 
[   ]py3-netmiko-4.5.0-r0.apk2025-02-13 07:33 179K 
[   ]py3-netmiko-pyc-4.5.0-r0.apk2025-02-13 07:33 348K 
[   ]py3-nikola-8.3.1-r0.apk2024-10-25 19:10 1.2M 
[   ]py3-nikola-doc-8.3.1-r0.apk2024-10-25 19:10 61K 
[   ]py3-nikola-pyc-8.3.1-r0.apk2024-10-25 19:10 530K 
[   ]py3-nmap-0.7.1-r4.apk2024-10-25 19:10 20K 
[   ]py3-nmap-pyc-0.7.1-r4.apk2024-10-25 19:10 25K 
[   ]py3-nose-timer-1.0.1-r6.apk2024-10-25 19:10 9.4K 
[   ]py3-nose-timer-pyc-1.0.1-r6.apk2024-10-25 19:10 9.9K 
[   ]py3-notifymail-1.1-r8.apk2024-10-25 19:10 7.6K 
[   ]py3-notifymail-pyc-1.1-r8.apk2024-10-25 19:10 5.7K 
[   ]py3-nptyping-2.5.0-r3.apk2024-10-25 19:10 21K 
[   ]py3-nptyping-pyc-2.5.0-r3.apk2024-10-25 19:10 32K 
[   ]py3-ntplib-0.4.0-r5.apk2024-10-25 19:10 7.4K 
[   ]py3-ntplib-pyc-0.4.0-r5.apk2024-10-25 19:10 8.6K 
[   ]py3-numpy-stl-3.2.0-r0.apk2024-12-01 02:04 21K 
[   ]py3-numpy-stl-pyc-3.2.0-r0.apk2024-12-01 02:04 28K 
[   ]py3-nwdiag-3.0.0-r3.apk2024-10-25 19:10 4.9M 
[   ]py3-nwdiag-pyc-3.0.0-r3.apk2024-10-25 19:10 78K 
[   ]py3-okonomiyaki-2.0.0-r0.apk2024-10-25 19:10 7.9M 
[   ]py3-okonomiyaki-pyc-2.0.0-r0.apk2024-10-25 19:10 243K 
[   ]py3-onnxruntime-1.22.1-r0.apk2025-07-12 16:01 5.9M 
[   ]py3-onnxruntime-pyc-1.22.1-r0.apk2025-07-12 16:01 1.3M 
[   ]py3-openapi-codec-1.3.2-r9.apk2024-10-25 19:10 7.6K 
[   ]py3-openapi-codec-pyc-1.3.2-r9.apk2024-10-25 19:10 12K 
[   ]py3-opendht-3.1.11-r0.apk2025-01-27 20:56 146K 
[   ]py3-openssh-wrapper-0.5_git20130425-r4.apk2024-10-25 19:10 8.2K 
[   ]py3-openssh-wrapper-pyc-0.5_git20130425-r4.apk2024-10-25 19:10 10K 
[   ]py3-openwisp-utils-1.0.4-r4.apk2024-10-25 19:10 498K 
[   ]py3-openwisp-utils-pyc-1.0.4-r4.apk2024-10-25 19:10 42K 
[   ]py3-orderedmultidict-1.0.1-r7.apk2024-10-25 19:10 12K 
[   ]py3-orderedmultidict-pyc-1.0.1-r7.apk2024-10-25 19:10 17K 
[   ]py3-osqp-0.6.2-r6.apk2024-10-25 19:10 101K 
[   ]py3-osqp-dev-0.6.2-r6.apk2024-10-25 19:10 49K 
[   ]py3-osqp-pyc-0.6.2-r6.apk2024-10-25 19:10 77K 
[   ]py3-ovos-audio-plugin-simple-0.0.1-r0.apk2024-10-25 19:10 10K 
[   ]py3-ovos-audio-plugin-simple-pyc-0.0.1-r0.apk2024-10-25 19:10 8.2K 
[   ]py3-ovos-backend-client-1.0.0-r0.apk2024-10-25 19:10 46K 
[   ]py3-ovos-backend-client-pyc-1.0.0-r0.apk2024-10-25 19:10 91K 
[   ]py3-ovos-bus-client-1.3.4-r0.apk2025-05-03 20:03 50K 
[   ]py3-ovos-bus-client-pyc-1.3.4-r0.apk2025-05-03 20:03 89K 
[   ]py3-ovos-classifiers-0.0.0_alpha53-r0.apk2024-10-25 19:10 103K 
[   ]py3-ovos-classifiers-pyc-0.0.0_alpha53-r0.apk2024-10-25 19:10 164K 
[   ]py3-ovos-config-2.1.1-r0.apk2025-07-15 18:37 47K 
[   ]py3-ovos-config-pyc-2.1.1-r0.apk2025-07-15 18:37 35K 
[   ]py3-ovos-lingua-franca-0.4.8_alpha3-r2.apk2024-10-25 19:10 358K 
[   ]py3-ovos-lingua-franca-pyc-0.4.8_alpha3-r2.apk2024-10-25 19:10 437K 
[   ]py3-ovos-microphone-plugin-alsa-0.1.2-r0.apk2025-07-15 20:03 8.9K 
[   ]py3-ovos-microphone-plugin-alsa-pyc-0.1.2-r0.apk2025-07-15 20:03 4.8K 
[   ]py3-ovos-ocp-audio-plugin-0.0.6_alpha2-r3.apk2024-10-25 19:10 550K 
[   ]py3-ovos-ocp-audio-plugin-pyc-0.0.6_alpha2-r3.apk2024-10-25 19:10 86K 
[   ]py3-ovos-ocp-files-plugin-0.13.1-r0.apk2024-10-25 19:10 47K 
[   ]py3-ovos-ocp-files-plugin-pyc-0.13.1-r0.apk2024-10-25 19:10 104K 
[   ]py3-ovos-ocp-m3u-plugin-0.0.2-r0.apk2024-10-25 19:10 8.1K 
[   ]py3-ovos-ocp-m3u-plugin-pyc-0.0.2-r0.apk2024-10-25 19:10 3.4K 
[   ]py3-ovos-ocp-news-plugin-0.1.1-r0.apk2025-07-15 20:03 11K 
[   ]py3-ovos-ocp-news-plugin-pyc-0.1.1-r0.apk2025-07-15 20:03 8.9K 
[   ]py3-ovos-ocp-rss-plugin-0.1.1-r0.apk2025-07-15 20:03 8.2K 
[   ]py3-ovos-ocp-rss-plugin-pyc-0.1.1-r0.apk2025-07-15 20:03 3.9K 
[   ]py3-ovos-phal-plugin-connectivity-events-0.1.2-r0.apk2025-07-15 20:03 8.8K 
[   ]py3-ovos-phal-plugin-connectivity-events-pyc-0.1.2-r0.apk2025-07-15 20:03 5.1K 
[   ]py3-ovos-phal-plugin-ipgeo-0.0.2-r1.apk2024-10-25 19:10 4.5K 
[   ]py3-ovos-phal-plugin-ipgeo-pyc-0.0.2-r1.apk2024-10-25 19:10 4.4K 
[   ]py3-ovos-phal-plugin-network-manager-1.3.2-r0.apk2024-11-21 13:31 95K 
[   ]py3-ovos-phal-plugin-network-manager-pyc-1.3.2-r0.apk2024-11-21 13:31 11K 
[   ]py3-ovos-phal-plugin-oauth-0.1.3-r0.apk2024-11-21 13:31 12K 
[   ]py3-ovos-phal-plugin-oauth-pyc-0.1.3-r0.apk2024-11-21 13:31 9.7K 
[   ]py3-ovos-phal-plugin-system-1.3.3-r0.apk2025-07-15 16:49 11K 
[   ]py3-ovos-phal-plugin-system-pyc-1.3.3-r0.apk2025-07-15 16:49 10K 
[   ]py3-ovos-plugin-manager-1.0.3-r0.apk2025-07-15 19:34 86K 
[   ]py3-ovos-plugin-manager-pyc-1.0.3-r0.apk2025-07-15 19:34 167K 
[   ]py3-ovos-stt-plugin-server-0.0.4_alpha4-r1.apk2024-10-25 19:10 10K 
[   ]py3-ovos-stt-plugin-server-pyc-0.0.4_alpha4-r1.apk2024-10-25 19:10 6.8K 
[   ]py3-ovos-translate-server-plugin-0.0.0-r0.apk2024-10-25 19:10 8.3K 
[   ]py3-ovos-translate-server-plugin-pyc-0.0.0-r0.apk2024-10-25 19:10 4.1K 
[   ]py3-ovos-tts-plugin-piper-0.0.1-r0.apk2024-10-25 19:10 12K 
[   ]py3-ovos-tts-plugin-piper-pyc-0.0.1-r0.apk2024-10-25 19:10 11K 
[   ]py3-ovos-tts-server-plugin-0.0.2_alpha13-r1.apk2024-10-25 19:10 9.5K 
[   ]py3-ovos-tts-server-plugin-pyc-0.0.2_alpha13-r1.apk2024-10-25 19:10 5.4K 
[   ]py3-ovos-utils-0.8.1-r0.apk2025-07-15 20:03 73K 
[   ]py3-ovos-utils-pyc-0.8.1-r0.apk2025-07-15 20:03 130K 
[   ]py3-ovos-vad-plugin-webrtcvad-0.0.1-r1.apk2024-10-25 19:10 4.5K 
[   ]py3-ovos-vad-plugin-webrtcvad-pyc-0.0.1-r1.apk2024-10-25 19:10 4.4K 
[   ]py3-ovos-workshop-7.0.6-r0.apk2025-07-15 19:30 92K 
[   ]py3-ovos-workshop-pyc-7.0.6-r0.apk2025-07-15 19:30 165K 
[   ]py3-ovos-ww-plugin-vosk-0.1.7-r0.apk2025-07-15 19:30 11K 
[   ]py3-ovos-ww-plugin-vosk-pyc-0.1.7-r0.apk2025-07-15 19:30 13K 
[   ]py3-owslib-0.34.1-r0.apk2025-07-13 19:28 195K 
[   ]py3-owslib-pyc-0.34.1-r0.apk2025-07-13 19:28 425K 
[   ]py3-pacparser-1.4.5-r1.apk2024-10-25 19:10 381K 
[   ]py3-pacparser-pyc-1.4.5-r1.apk2024-10-25 19:10 4.0K 
[   ]py3-padacioso-0.2.1-r0.apk2024-10-25 19:10 11K 
[   ]py3-padacioso-pyc-0.2.1-r0.apk2024-10-25 19:10 12K 
[   ]py3-pam-2.0.2-r2.apk2024-10-25 19:10 11K 
[   ]py3-pam-pyc-2.0.2-r2.apk2024-10-25 19:10 13K 
[   ]py3-pathvalidate-3.3.1-r0.apk2025-07-12 15:21 19K 
[   ]py3-pathvalidate-pyc-3.3.1-r0.apk2025-07-12 15:21 34K 
[   ]py3-pbkdf2-1.3-r7.apk2024-10-25 19:10 6.3K 
[   ]py3-pbkdf2-pyc-1.3-r7.apk2024-10-25 19:10 7.1K 
[   ]py3-pdal-3.4.5-r1.apk2025-06-21 21:42 163K 
[   ]py3-pdal-pyc-3.4.5-r1.apk2025-06-21 21:42 13K 
[   ]py3-pelican-4.9.1-r2.apk2024-10-25 19:10 234K 
[   ]py3-pelican-pyc-4.9.1-r2.apk2024-10-25 19:10 147K 
[   ]py3-pep8-naming-0.14.1-r0.apk2024-10-25 19:10 9.8K 
[   ]py3-pep8-naming-pyc-0.14.1-r0.apk2024-10-25 19:10 13K 
[   ]py3-phpserialize-1.3-r8.apk2024-10-25 19:10 8.9K 
[   ]py3-phpserialize-pyc-1.3-r8.apk2024-10-25 19:10 11K 
[   ]py3-phx-class-registry-5.0.0-r0.apk2024-10-25 19:10 13K 
[   ]py3-phx-class-registry-doc-5.0.0-r0.apk2024-10-25 19:10 2.2K 
[   ]py3-phx-class-registry-pyc-5.0.0-r0.apk2024-10-25 19:10 17K 
[   ]py3-piccata-2.0.3-r1.apk2024-10-25 19:10 20K 
[   ]py3-piccata-pyc-2.0.3-r1.apk2024-10-25 19:10 34K 
[   ]py3-pickle-secure-0.99.9-r1.apk2024-10-25 19:10 7.5K 
[   ]py3-pickle-secure-pyc-0.99.9-r1.apk2024-10-25 19:10 5.4K 
[   ]py3-pigpio-79-r4.apk2024-10-25 19:10 93K 
[   ]py3-pika-1.3.2-r1.apk2024-10-25 19:10 143K 
[   ]py3-pika-pyc-1.3.2-r1.apk2024-10-25 19:10 246K 
[   ]py3-pillow_heif-0.18.0-r0.apk2024-10-25 19:10 43K 
[   ]py3-pillow_heif-pyc-0.18.0-r0.apk2024-10-25 19:10 36K 
[   ]py3-pip-system-certs-4.0-r1.apk2024-10-25 19:10 7.0K 
[   ]py3-pip-system-certs-pyc-4.0-r1.apk2024-10-25 19:10 4.7K 
[   ]py3-piper-phonemize-2023.11.14.4-r9.apk2025-07-12 16:01 141K 
[   ]py3-piper-phonemize-pyc-2023.11.14.4-r9.apk2025-07-12 16:01 3.3K 
[   ]py3-piper-tts-2023.11.14.2-r14.apk2025-07-12 16:01 41K 
[   ]py3-playsound-1.3.0-r1.apk2024-10-25 19:10 6.9K 
[   ]py3-playsound-pyc-1.3.0-r1.apk2024-10-25 19:10 8.5K 
[   ]py3-plotly-5.24.1-r2.apk2025-04-18 22:10 20M 
[   ]py3-pltable-1.1.0-r1.apk2024-11-13 06:46 19K 
[   ]py3-pltable-pyc-1.1.0-r1.apk2024-11-13 06:46 33K 
[   ]py3-pockethernet-0.7.0-r4.apk2024-10-25 19:10 15K 
[   ]py3-pockethernet-pyc-0.7.0-r4.apk2024-10-25 19:10 25K 
[   ]py3-poetry-dynamic-versioning-1.9.0-r0.apk2025-07-05 22:57 21K 
[   ]py3-poetry-dynamic-versioning-pyc-1.9.0-r0.apk2025-07-05 22:57 26K 
[   ]py3-poppler-qt5-21.3.0-r2.apk2025-01-29 19:49 119K 
[   ]py3-ppk2-api-0.9.2-r0.apk2024-11-30 21:06 16K 
[   ]py3-ppk2-api-pyc-0.9.2-r0.apk2024-11-30 21:06 17K 
[   ]py3-pprintpp-0.4.0-r1.apk2024-10-25 19:10 14K 
[   ]py3-pprintpp-pyc-0.4.0-r1.apk2024-10-25 19:10 16K 
[   ]py3-print-color-0.4.6-r0.apk2024-10-25 19:10 8.9K 
[   ]py3-print-color-doc-0.4.6-r0.apk2024-10-25 19:10 2.6K 
[   ]py3-print-color-pyc-0.4.6-r0.apk2024-10-25 19:10 5.0K 
[   ]py3-priority-2.0.0-r0.apk2025-07-23 06:34 9.9K 
[   ]py3-priority-pyc-2.0.0-r0.apk2025-07-23 06:34 9.3K 
[   ]py3-proglog-0.1.10-r2.apk2024-10-25 19:10 7.4K 
[   ]py3-proglog-pyc-0.1.10-r2.apk2024-10-25 19:10 9.9K 
[   ]py3-protego-0.3.1-r0.apk2024-11-30 20:18 9.3K 
[   ]py3-protego-pyc-0.3.1-r0.apk2024-11-30 20:18 12K 
[   ]py3-proxmoxer-2.2.0-r0.apk2024-12-16 11:36 17K 
[   ]py3-proxmoxer-pyc-2.2.0-r0.apk2024-12-16 11:36 28K 
[   ]py3-pure_protobuf-3.1.2-r0.apk2024-10-25 19:10 21K 
[   ]py3-pure_protobuf-pyc-3.1.2-r0.apk2024-10-25 19:10 38K 
[   ]py3-py-radix-0.10.0-r10.apk2024-10-25 19:10 20K 
[   ]py3-py-radix-pyc-0.10.0-r10.apk2024-10-25 19:10 11K 
[   ]py3-pyatem-0.5.0-r4.apk2024-10-25 19:10 53K 
[   ]py3-pyatem-pyc-0.5.0-r4.apk2024-10-25 19:10 92K 
[   ]py3-pyautogui-0.9.53-r5.apk2024-10-25 19:10 35K 
[   ]py3-pyautogui-pyc-0.9.53-r5.apk2024-10-25 19:10 45K 
[   ]py3-pybars3-0.9.7-r6.apk2024-10-25 19:10 15K 
[   ]py3-pybars3-pyc-0.9.7-r6.apk2024-10-25 19:10 17K 
[   ]py3-pycaption-2.2.15-r0.apk2024-10-25 19:10 360K 
[   ]py3-pycolorterm-0.2.1-r6.apk2024-10-25 19:10 5.5K 
[   ]py3-pycolorterm-pyc-0.2.1-r6.apk2024-10-25 19:10 3.7K 
[   ]py3-pycosat-0.6.6-r2.apk2024-10-25 19:10 45K 
[   ]py3-pydes-2.0.1-r5.apk2024-10-25 19:10 11K 
[   ]py3-pydes-doc-2.0.1-r5.apk2024-10-25 19:10 3.6K 
[   ]py3-pydes-pyc-2.0.1-r5.apk2024-10-25 19:10 13K 
[   ]py3-pygelbooru-0.5.0-r4.apk2024-10-25 19:10 8.1K 
[   ]py3-pygelbooru-pyc-0.5.0-r4.apk2024-10-25 19:10 11K 
[   ]py3-pygfm-2.0.0-r2.apk2024-10-25 19:10 13K 
[   ]py3-pygfm-pyc-2.0.0-r2.apk2024-10-25 19:10 13K 
[   ]py3-pyglet-2.1.5-r0.apk2025-07-13 21:43 890K 
[   ]py3-pyglet-pyc-2.1.5-r0.apk2025-07-13 21:43 1.6M 
[   ]py3-pyglm-2.7.3-r0.apk2024-11-06 09:41 1.2M 
[   ]py3-pygpgme-0.3.1-r9.apk2024-10-25 19:10 36K 
[   ]py3-pygpgme-pyc-0.3.1-r9.apk2024-10-25 19:10 5.1K 
[   ]py3-pygtail-0.14.0-r3.apk2024-10-25 19:10 15K 
[   ]py3-pygtail-pyc-0.14.0-r3.apk2024-10-25 19:10 10K 
[   ]py3-pyinstaller-6.6.0-r0.apk2024-10-25 19:10 1.9M 
[   ]py3-pyinstaller-pyc-6.6.0-r0.apk2024-10-25 19:10 502K 
[   ]py3-pyinstrument-5.0.3-r0.apk2025-07-03 00:06 109K 
[   ]py3-pyinstrument-pyc-5.0.3-r0.apk2025-07-03 00:06 100K 
[   ]py3-pyisbn-1.3.1-r3.apk2024-10-25 19:10 20K 
[   ]py3-pyisbn-pyc-1.3.1-r3.apk2024-10-25 19:10 9.4K 
[   ]py3-pylru-1.2.1-r1.apk2024-10-25 19:10 17K 
[   ]py3-pylru-pyc-1.2.1-r1.apk2024-10-25 19:10 8.9K 
[   ]py3-pymaging-0.0.20130908-r10.apk2024-10-25 19:10 18K 
[   ]py3-pymaging-png-0.0.20130727-r10.apk2024-10-25 19:10 35K 
[   ]py3-pymaging-png-pyc-0.0.20130727-r10.apk2024-10-25 19:10 52K 
[   ]py3-pymaging-pyc-0.0.20130908-r10.apk2024-10-25 19:10 32K 
[   ]py3-pymata-2.20-r4.apk2024-10-25 19:10 23K 
[   ]py3-pymata-pyc-2.20-r4.apk2024-10-25 19:10 29K 
[   ]py3-pymata4-1.15-r4.apk2024-10-25 19:10 23K 
[   ]py3-pymata4-pyc-1.15-r4.apk2024-10-25 19:10 31K 
[   ]py3-pymeta3-0.5.1-r6.apk2024-10-25 19:10 17K 
[   ]py3-pymeta3-pyc-0.5.1-r6.apk2024-10-25 19:10 32K 
[   ]py3-pymsgbox-1.0.9-r5.apk2024-10-25 19:10 9.2K 
[   ]py3-pymsgbox-pyc-1.0.9-r5.apk2024-10-25 19:10 9.8K 
[   ]py3-pymsteams-0.2.5-r0.apk2025-02-17 15:08 12K 
[   ]py3-pymsteams-pyc-0.2.5-r0.apk2025-02-17 15:08 6.5K 
[   ]py3-pymupdf-1.25.5-r0.apk2025-06-10 19:57 320K 
[   ]py3-pymupdf-pyc-1.25.5-r0.apk2025-06-10 19:57 503K 
[   ]py3-pynest2d-5.2.2-r5.apk2025-02-06 04:44 240K 
[   ]py3-pypandoc-1.15-r0.apk2025-02-12 22:35 21K 
[   ]py3-pypandoc-pyc-1.15-r0.apk2025-02-12 22:35 23K 
[   ]py3-pyparted-3.13.0-r1.apk2024-10-25 19:10 78K 
[   ]py3-pyparted-pyc-3.13.0-r1.apk2024-10-25 19:10 42K 
[   ]py3-pypubsub-4.0.3-r0.apk2024-10-25 19:10 52K 
[   ]py3-pypubsub-doc-4.0.3-r0.apk2024-10-25 19:10 2.1K 
[   ]py3-pypubsub-pyc-4.0.3-r0.apk2024-10-25 19:10 90K 
[   ]py3-pyqrcode-1.2.1-r0.apk2024-10-25 19:10 37K 
[   ]py3-pyqrcode-doc-1.2.1-r0.apk2024-10-25 19:10 4.3K 
[   ]py3-pyqrcode-pyc-1.2.1-r0.apk2024-10-25 19:10 47K 
[   ]py3-pyrebase-3.0.27-r5.apk2024-10-25 19:10 9.6K 
[   ]py3-pyrebase-pyc-3.0.27-r5.apk2024-10-25 19:10 18K 
[   ]py3-pyroma-4.2-r0.apk2024-10-25 19:10 22K 
[   ]py3-pyroma-pyc-4.2-r0.apk2024-10-25 19:10 26K 
[   ]py3-pyscreeze-0.1.29-r3.apk2024-10-25 19:10 14K 
[   ]py3-pyscreeze-pyc-0.1.29-r3.apk2024-10-25 19:10 14K 
[   ]py3-pysimplesoap-1.16.2-r7.apk2024-10-25 19:10 44K 
[   ]py3-pysimplesoap-pyc-1.16.2-r7.apk2024-10-25 19:10 78K 
[   ]py3-pysonic-1.0.3-r0.apk2025-04-17 18:34 35K 
[   ]py3-pysonic-pyc-1.0.3-r0.apk2025-04-17 18:34 32K 
[   ]py3-pyspinel-1.0.3-r1.apk2024-10-25 19:10 56K 
[   ]py3-pyspinel-pyc-1.0.3-r1.apk2024-10-25 19:10 63K 
[   ]py3-pysrt-1.1.2-r5.apk2025-05-14 18:17 25K 
[   ]py3-pysrt-pyc-1.1.2-r5.apk2025-05-14 18:17 23K 
[   ]py3-pystache-0.6.5-r1.apk2024-10-25 19:10 68K 
[   ]py3-pystache-pyc-0.6.5-r1.apk2024-10-25 19:10 97K 
[   ]py3-pysubs2-1.8.0-r0.apk2024-12-25 22:09 36K 
[   ]py3-pysubs2-pyc-1.8.0-r0.apk2024-12-25 22:09 68K 
[   ]py3-pytaglib-3.0.0-r0.apk2025-01-26 19:30 40K 
[   ]py3-pytaglib-pyc-3.0.0-r0.apk2025-01-26 19:30 2.9K 
[   ]py3-pytap2-2.3.0-r0.apk2024-10-25 19:10 7.0K 
[   ]py3-pytap2-doc-2.3.0-r0.apk2024-10-25 19:10 2.8K 
[   ]py3-pytap2-pyc-2.3.0-r0.apk2024-10-25 19:10 6.0K 
[   ]py3-pyte-0.8.2-r3.apk2025-05-13 22:00 30K 
[   ]py3-pyte-pyc-0.8.2-r3.apk2025-05-13 22:00 39K 
[   ]py3-pytest-datadir-1.7.2-r0.apk2025-07-12 21:59 6.8K 
[   ]py3-pytest-datadir-pyc-1.7.2-r0.apk2025-07-12 21:59 5.0K 
[   ]py3-pytest-expect-1.1.0-r10.apk2024-10-25 19:10 5.9K 
[   ]py3-pytest-expect-pyc-1.1.0-r10.apk2024-10-25 19:10 7.0K 
[   ]py3-pytest-home-0.6.0-r0.apk2024-10-25 19:10 4.5K 
[   ]py3-pytest-home-pyc-0.6.0-r0.apk2024-10-25 19:10 2.8K 
[   ]py3-pytest-html-4.1.1-r1.apk2024-10-25 19:10 22K 
[   ]py3-pytest-html-pyc-4.1.1-r1.apk2024-10-25 19:10 22K 
[   ]py3-pytest-metadata-3.1.1-r0.apk2024-10-25 19:10 10K 
[   ]py3-pytest-metadata-pyc-3.1.1-r0.apk2024-10-25 19:10 7.9K 
[   ]py3-pytest-regtest-2.3.2-r1.apk2025-02-17 15:08 16K 
[   ]py3-pytest-regtest-pyc-2.3.2-r1.apk2025-02-17 15:08 30K 
[   ]py3-pytest-subprocess-1.5.2-r0.apk2024-10-25 19:10 20K 
[   ]py3-pytest-subprocess-pyc-1.5.2-r0.apk2024-10-25 19:10 25K 
[   ]py3-python-archive-0.2-r7.apk2024-10-25 19:10 7.4K 
[   ]py3-python-archive-pyc-0.2-r7.apk2024-10-25 19:10 9.4K 
[   ]py3-python-iptables-1.0.1-r1.apk2024-10-25 19:10 39K 
[   ]py3-python-iptables-pyc-1.0.1-r1.apk2024-10-25 19:10 68K 
[   ]py3-python-jwt-4.1.0-r2.apk2025-05-15 21:22 8.1K 
[   ]py3-python-jwt-pyc-4.1.0-r2.apk2025-05-15 21:22 6.5K 
[   ]py3-python-logstash-0.4.8-r4.apk2024-10-25 19:10 8.6K 
[   ]py3-python-logstash-doc-0.4.8-r4.apk2024-10-25 19:10 2.3K 
[   ]py3-python-logstash-pyc-0.4.8-r4.apk2024-10-25 19:10 8.4K 
[   ]py3-python-stdnum-1.20-r0.apk2024-10-25 19:10 806K 
[   ]py3-python-stdnum-pyc-1.20-r0.apk2024-10-25 19:10 293K 
[   ]py3-pyvcd-0.4.1-r0.apk2024-11-11 21:46 23K 
[   ]py3-pyvcd-pyc-0.4.1-r0.apk2024-11-11 21:46 40K 
[   ]py3-pyzor-1.0.0-r11.apk2024-10-25 19:10 40K 
[   ]py3-pyzor-pyc-1.0.0-r11.apk2024-10-25 19:10 54K 
[   ]py3-qasync-0.19.0-r2.apk2024-10-25 19:10 37K 
[   ]py3-qdldl-0.1.5-r4.apk2024-10-25 19:10 90K 
[   ]py3-qgis-3.40.9-r0.apk2025-07-24 00:06 20M 
[   ]py3-qpageview-0.6.2-r1.apk2024-10-25 19:10 98K 
[   ]py3-qpageview-doc-0.6.2-r1.apk2024-10-25 19:10 56K 
[   ]py3-qpageview-pyc-0.6.2-r1.apk2024-10-25 19:10 180K 
[   ]py3-qt.py-1.3.10-r1.apk2024-10-25 19:10 33K 
[   ]py3-qt.py-pyc-1.3.10-r1.apk2024-10-25 19:10 25K 
[   ]py3-quart-0.20.0-r0.apk2025-07-23 06:34 68K 
[   ]py3-quart-pyc-0.20.0-r0.apk2025-07-23 06:34 147K 
[   ]py3-quebra-frases-0.3.7-r1.apk2024-10-25 19:10 8.8K 
[   ]py3-quebra-frases-pyc-0.3.7-r1.apk2024-10-25 19:10 7.8K 
[   ]py3-queuelib-1.7.0-r0.apk2024-10-25 19:10 13K 
[   ]py3-queuelib-pyc-1.7.0-r0.apk2024-10-25 19:10 25K 
[   ]py3-rabbit-1.1.0-r8.apk2024-10-25 19:10 11K 
[   ]py3-rabbit-pyc-1.1.0-r8.apk2024-10-25 19:10 15K 
[   ]py3-radon-6.0.1-r2.apk2024-10-25 19:10 32K 
[   ]py3-radon-doc-6.0.1-r2.apk2024-10-25 19:10 5.1K 
[   ]py3-radon-pyc-6.0.1-r2.apk2024-10-25 19:10 50K 
[   ]py3-recommonmark-0.7.1-r4.apk2024-10-25 19:10 12K 
[   ]py3-recommonmark-pyc-0.7.1-r4.apk2024-10-25 19:10 18K 
[   ]py3-recurring-ical-events-3.8.0-r0.apk2025-06-15 04:00 39K 
[   ]py3-recurring-ical-events-pyc-3.8.0-r0.apk2025-06-15 04:00 50K 
[   ]py3-redmine-2.5.0-r0.apk2024-10-25 19:10 37K 
[   ]py3-redmine-pyc-2.5.0-r0.apk2024-10-25 19:10 54K 
[   ]py3-remind-0.19.2-r0.apk2025-05-03 20:03 24K 
[   ]py3-remind-pyc-0.19.2-r0.apk2025-05-03 20:03 23K 
[   ]py3-requests-cache-1.2.1-r1.apk2024-11-20 00:45 50K 
[   ]py3-requests-cache-pyc-1.2.1-r1.apk2024-11-20 00:45 94K 
[   ]py3-requests-kerberos-0.15.0-r0.apk2024-12-01 17:24 12K 
[   ]py3-requests-kerberos-pyc-0.15.0-r0.apk2024-12-01 17:24 11K 
[   ]py3-requests-wsgi-adapter-0.4.1-r1.apk2024-10-25 19:10 5.5K 
[   ]py3-requests-wsgi-adapter-pyc-0.4.1-r1.apk2024-10-25 19:10 6.6K 
[   ]py3-rfc-bibtex-0.3.2-r7.apk2024-10-25 19:10 13K 
[   ]py3-rfc-bibtex-pyc-0.3.2-r7.apk2024-10-25 19:10 12K 
[   ]py3-rich-click-1.7.3-r1.apk2024-10-25 19:10 31K 
[   ]py3-rich-click-pyc-1.7.3-r1.apk2024-10-25 19:10 40K 
[   ]py3-riotctrl-0.5.0-r4.apk2024-10-25 19:10 13K 
[   ]py3-riotctrl-pyc-0.5.0-r4.apk2024-10-25 19:10 11K 
[   ]py3-rns-0.9.6-r0.apk2025-05-27 21:41 344K 
[   ]py3-rns-pyc-0.9.6-r0.apk2025-05-27 21:41 704K 
[   ]py3-rofi-1.0.1-r0.apk2025-06-10 19:57 12K 
[   ]py3-rofi-pyc-1.0.1-r0.apk2025-06-10 19:57 12K 
[   ]py3-rosdistro-0.9.0-r3.apk2024-10-25 19:10 47K 
[   ]py3-rosdistro-pyc-0.9.0-r3.apk2024-10-25 19:10 91K 
[   ]py3-rospkg-1.2.9-r5.apk2024-10-25 19:10 29K 
[   ]py3-rospkg-pyc-1.2.9-r5.apk2024-10-25 19:10 54K 
[   ]py3-rpio-0.10.1-r8.apk2024-10-25 19:10 39K 
[   ]py3-rpio-pyc-0.10.1-r8.apk2024-10-25 19:10 16K 
[   ]py3-rst-0.1-r9.apk2024-10-25 19:10 5.6K 
[   ]py3-rst-pyc-0.1-r9.apk2024-10-25 19:10 6.1K 
[   ]py3-rst.linker-2.6.0-r0.apk2024-10-25 19:10 6.1K 
[   ]py3-rst.linker-pyc-2.6.0-r0.apk2024-10-25 19:10 6.6K 
[   ]py3-rst2ansi-0.1.5-r0.apk2024-10-25 19:10 12K 
[   ]py3-rst2ansi-doc-0.1.5-r0.apk2024-10-25 19:10 2.2K 
[   ]py3-rst2ansi-pyc-0.1.5-r0.apk2024-10-25 19:10 24K 
[   ]py3-rst2pdf-0.102-r0.apk2025-06-10 19:57 154K 
[   ]py3-rst2pdf-pyc-0.102-r0.apk2025-06-10 19:57 206K 
[   ]py3-rtree-1.4.0-r2.apk2025-05-31 10:29 26K 
[   ]py3-rtree-pyc-1.4.0-r2.apk2025-05-31 10:29 47K 
[   ]py3-schema-0.7.7-r0.apk2025-07-07 16:10 19K 
[   ]py3-schema-pyc-0.7.7-r0.apk2025-07-07 16:10 21K 
[   ]py3-scour-0.38.2-r1.apk2024-10-25 19:10 56K 
[   ]py3-scour-pyc-0.38.2-r1.apk2024-10-25 19:10 74K 
[   ]py3-scrapy-2.11.1-r1.apk2024-10-25 19:10 240K 
[   ]py3-scrapy-pyc-2.11.1-r1.apk2024-10-25 19:10 482K 
[   ]py3-scs-3.2.3-r4.apk2024-10-25 19:10 98K 
[   ]py3-scs-pyc-3.2.3-r4.apk2024-10-25 19:10 4.9K 
[   ]py3-senf-1.5.0-r0.apk2025-06-27 02:58 20K 
[   ]py3-senf-pyc-1.5.0-r0.apk2025-06-27 02:58 32K 
[   ]py3-seqdiag-3.0.0-r5.apk2024-10-25 19:10 2.5M 
[   ]py3-seqdiag-pyc-3.0.0-r5.apk2024-10-25 19:10 42K 
[   ]py3-setuptools-lint-0.6.0-r9.apk2024-10-25 19:10 5.4K 
[   ]py3-setuptools-lint-pyc-0.6.0-r9.apk2024-10-25 19:10 6.0K 
[   ]py3-sh-2.1.0-r0.apk2024-11-01 15:13 38K 
[   ]py3-sh-pyc-2.1.0-r0.apk2024-11-01 15:13 55K 
[   ]py3-shodan-1.31.0-r1.apk2024-10-25 19:10 44K 
[   ]py3-shodan-doc-1.31.0-r1.apk2024-10-25 19:10 7.2K 
[   ]py3-shodan-pyc-1.31.0-r1.apk2024-10-25 19:10 79K 
[   ]py3-simber-0.2.6-r5.apk2025-05-14 18:17 12K 
[   ]py3-simber-pyc-0.2.6-r5.apk2025-05-14 18:17 16K 
[   ]py3-simpleeval-1.0.3-r0.apk2025-06-24 19:38 16K 
[   ]py3-simpleeval-pyc-1.0.3-r0.apk2025-06-24 19:38 16K 
[   ]py3-simplematch-1.4-r1.apk2024-10-25 19:10 8.0K 
[   ]py3-simplematch-pyc-1.4-r1.apk2024-10-25 19:10 5.8K 
[   ]py3-simplesat-0.8.2-r0.apk2024-10-25 19:10 214K 
[   ]py3-simplesat-pyc-0.8.2-r0.apk2024-10-25 19:10 157K 
[   ]py3-simplesoapy-1.5.1-r7.apk2024-10-25 19:10 7.9K 
[   ]py3-simplesoapy-pyc-1.5.1-r7.apk2024-10-25 19:10 12K 
[   ]py3-simplespectral-1.0.0-r5.apk2024-10-25 19:10 7.5K 
[   ]py3-simplespectral-pyc-1.0.0-r5.apk2024-10-25 19:10 8.1K 
[   ]py3-slidge-style-parser-0.1.9-r0.apk2025-04-13 20:28 201K 
[   ]py3-slidge-style-parser-pyc-0.1.9-r0.apk2025-04-13 20:28 2.0K 
[   ]py3-slixmpp-1.8.5-r2.apk2024-10-25 19:10 383K 
[   ]py3-slixmpp-doc-1.8.5-r2.apk2024-10-25 19:10 5.8K 
[   ]py3-slixmpp-pyc-1.8.5-r2.apk2024-10-25 19:10 729K 
[   ]py3-snapshottest-0.6.0-r5.apk2024-10-25 19:10 15K 
[   ]py3-snapshottest-pyc-0.6.0-r5.apk2024-10-25 19:10 26K 
[   ]py3-soappy-0.52.30-r0.apk2024-12-04 11:57 47K 
[   ]py3-soappy-pyc-0.52.30-r0.apk2024-12-04 11:57 95K 
[   ]py3-soapy_power-1.6.1-r5.apk2024-10-25 19:10 17K 
[   ]py3-soapy_power-pyc-1.6.1-r5.apk2024-10-25 19:10 27K 
[   ]py3-sortedcollections-2.1.0-r5.apk2024-10-25 19:10 11K 
[   ]py3-sortedcollections-pyc-2.1.0-r5.apk2024-10-25 19:10 14K 
[   ]py3-spake2-0.9-r0.apk2024-10-25 19:10 30K 
[   ]py3-spake2-pyc-0.9-r0.apk2024-10-25 19:10 44K 
[   ]py3-sphinx-argparse-0.5.2-r0.apk2024-10-25 19:10 14K 
[   ]py3-sphinx-argparse-pyc-0.5.2-r0.apk2024-10-25 19:10 22K 
[   ]py3-sphinx-autoapi-3.6.0-r0.apk2025-02-22 15:37 31K 
[   ]py3-sphinx-autoapi-pyc-3.6.0-r0.apk2025-02-22 15:37 59K 
[   ]py3-sphinx-theme-better-0.1.5-r7.apk2024-10-25 19:10 11K 
[   ]py3-sphinx-theme-better-pyc-0.1.5-r7.apk2024-10-25 19:10 2.0K 
[   ]py3-sphinx-theme-bootstrap-0.8.1-r4.apk2024-10-25 19:10 1.2M 
[   ]py3-sphinx-theme-bootstrap-pyc-0.8.1-r4.apk2024-10-25 19:10 2.3K 
[   ]py3-sphinx-theme-bw-0.1.8-r7.apk2024-10-25 19:10 65K 
[   ]py3-sphinx-theme-bw-pyc-0.1.8-r7.apk2024-10-25 19:10 1.8K 
[   ]py3-sphinx-theme-cloud-1.10.0-r2.apk2024-10-25 19:10 81K 
[   ]py3-sphinx-theme-cloud-pyc-1.10.0-r2.apk2024-10-25 19:10 43K 
[   ]py3-sphinx-theme-epfl-1.1.1-r9.apk2024-10-25 19:10 30K 
[   ]py3-sphinx-theme-epfl-pyc-1.1.1-r9.apk2024-10-25 19:10 2.5K 
[   ]py3-sphinx-theme-guzzle-0.7.11-r7.apk2024-10-25 19:10 2.4M 
[   ]py3-sphinx-theme-guzzle-pyc-0.7.11-r7.apk2024-10-25 19:10 5.5K 
[   ]py3-sphinx-theme-readable-1.3.0-r9.apk2024-10-25 19:10 8.8K 
[   ]py3-sphinx-theme-readable-pyc-1.3.0-r9.apk2024-10-25 19:10 2.2K 
[   ]py3-sphinxcontrib-actdiag-3.0.0-r4.apk2024-10-25 19:10 7.6K 
[   ]py3-sphinxcontrib-actdiag-pyc-3.0.0-r4.apk2024-10-25 19:10 9.3K 
[   ]py3-sphinxcontrib-adadomain-0.2-r9.apk2024-10-25 19:10 9.0K 
[   ]py3-sphinxcontrib-adadomain-pyc-0.2-r9.apk2024-10-25 19:10 12K 
[   ]py3-sphinxcontrib-bitbucket-1.0-r8.apk2024-10-25 19:10 5.7K 
[   ]py3-sphinxcontrib-bitbucket-pyc-1.0-r8.apk2024-10-25 19:10 4.1K 
[   ]py3-sphinxcontrib-blockdiag-3.0.0-r4.apk2024-10-25 19:10 7.5K 
[   ]py3-sphinxcontrib-blockdiag-pyc-3.0.0-r4.apk2024-10-25 19:10 9.2K 
[   ]py3-sphinxcontrib-cacoo-2.0.0-r7.apk2024-10-25 19:10 5.7K 
[   ]py3-sphinxcontrib-cacoo-pyc-2.0.0-r7.apk2024-10-25 19:10 4.2K 
[   ]py3-sphinxcontrib-cartouche-1.1.2-r7.apk2024-10-25 19:10 18K 
[   ]py3-sphinxcontrib-cartouche-pyc-1.1.2-r7.apk2024-10-25 19:10 34K 
[   ]py3-sphinxcontrib-doxylink-1.12.3-r0.apk2024-12-06 22:59 12K 
[   ]py3-sphinxcontrib-doxylink-pyc-1.12.3-r0.apk2024-12-06 22:59 16K 
[   ]py3-sphinxcontrib-fulltoc-1.2.0-r8.apk2024-10-25 19:10 9.1K 
[   ]py3-sphinxcontrib-fulltoc-pyc-1.2.0-r8.apk2024-10-25 19:10 3.5K 
[   ]py3-sphinxcontrib-gist-0.1.0-r9.apk2024-10-25 19:10 3.9K 
[   ]py3-sphinxcontrib-gist-pyc-0.1.0-r9.apk2024-10-25 19:10 3.3K 
[   ]py3-sphinxcontrib-git-11.0.0-r7.apk2024-10-25 19:10 17K 
[   ]py3-sphinxcontrib-git-pyc-11.0.0-r7.apk2024-10-25 19:10 6.6K 
[   ]py3-sphinxcontrib-gravatar-0.1.2-r8.apk2024-10-25 19:10 7.9K 
[   ]py3-sphinxcontrib-gravatar-pyc-0.1.2-r8.apk2024-10-25 19:10 7.6K 
[   ]py3-sphinxcontrib-htsql-0.1.5-r8.apk2024-10-25 19:10 11K 
[   ]py3-sphinxcontrib-htsql-pyc-0.1.5-r8.apk2024-10-25 19:10 15K 
[   ]py3-sphinxcontrib-httpdomain-1.8.1-r3.apk2024-10-25 19:10 18K 
[   ]py3-sphinxcontrib-httpdomain-lang-1.8.1-r3.apk2024-10-25 19:10 4.3K 
[   ]py3-sphinxcontrib-httpdomain-pyc-1.8.1-r3.apk2024-10-25 19:10 34K 
[   ]py3-sphinxcontrib-hydomain-0.1.0_git20230930-r1.apk2024-10-25 19:10 21K 
[   ]py3-sphinxcontrib-hydomain-pyc-0.1.0_git20230930-r1.apk2024-10-25 19:10 44K 
[   ]py3-sphinxcontrib-inheritance-0.9.0-r9.apk2024-10-25 19:10 11K 
[   ]py3-sphinxcontrib-inheritance-pyc-0.9.0-r9.apk2024-10-25 19:10 15K 
[   ]py3-sphinxcontrib-issuetracker-0.11-r7.apk2024-10-25 19:10 11K 
[   ]py3-sphinxcontrib-issuetracker-pyc-0.11-r7.apk2024-10-25 19:10 12K 
[   ]py3-sphinxcontrib-lassodomain-0.4-r8.apk2024-10-25 19:10 7.9K 
[   ]py3-sphinxcontrib-lassodomain-pyc-0.4-r8.apk2024-10-25 19:10 11K 
[   ]py3-sphinxcontrib-manpage-0.6-r8.apk2024-10-25 19:10 4.1K 
[   ]py3-sphinxcontrib-manpage-pyc-0.6-r8.apk2024-10-25 19:10 3.1K 
[   ]py3-sphinxcontrib-mermaid-1.0.0-r0.apk2025-05-08 12:22 10K 
[   ]py3-sphinxcontrib-mermaid-pyc-1.0.0-r0.apk2025-05-08 12:22 16K 
[   ]py3-sphinxcontrib-nwdiag-2.0.0-r7.apk2024-10-25 19:10 8.7K 
[   ]py3-sphinxcontrib-nwdiag-pyc-2.0.0-r7.apk2024-10-25 19:10 11K 
[   ]py3-sphinxcontrib-phpdomain-0.13.0-r0.apk2025-07-12 16:01 11K 
[   ]py3-sphinxcontrib-phpdomain-pyc-0.13.0-r0.apk2025-07-12 16:01 17K 
[   ]py3-sphinxcontrib-plantuml-0.30-r0.apk2025-05-08 12:22 12K 
[   ]py3-sphinxcontrib-plantuml-pyc-0.30-r0.apk2025-05-08 12:22 19K 
[   ]py3-sphinxcontrib-programoutput-0.17-r5.apk2024-10-25 19:10 16K 
[   ]py3-sphinxcontrib-programoutput-pyc-0.17-r5.apk2024-10-25 19:10 24K 
[   ]py3-sphinxcontrib-restbuilder-0.3-r6.apk2024-10-25 19:10 11K 
[   ]py3-sphinxcontrib-restbuilder-pyc-0.3-r6.apk2024-10-25 19:10 21K 
[   ]py3-sphinxcontrib-seqdiag-3.0.0-r5.apk2024-10-25 19:10 7.6K 
[   ]py3-sphinxcontrib-seqdiag-pyc-3.0.0-r5.apk2024-10-25 19:10 9.3K 
[   ]py3-sphinxcontrib-slide-1.0.0-r4.apk2025-05-13 22:00 4.9K 
[   ]py3-sphinxcontrib-slide-pyc-1.0.0-r4.apk2025-05-13 22:00 5.6K 
[   ]py3-sphinxcontrib-spelling-8.0.1-r0.apk2025-07-13 21:34 13K 
[   ]py3-sphinxcontrib-spelling-pyc-8.0.1-r0.apk2025-07-13 21:34 19K 
[   ]py3-sphinxcontrib-sqltable-2.0.0-r8.apk2024-10-25 19:10 7.3K 
[   ]py3-sphinxcontrib-sqltable-pyc-2.0.0-r8.apk2024-10-25 19:10 4.9K 
[   ]py3-sphinxcontrib-textstyle-0.2.3-r8.apk2024-10-25 19:10 6.1K 
[   ]py3-sphinxcontrib-textstyle-pyc-0.2.3-r8.apk2024-10-25 19:10 5.7K 
[   ]py3-spidev-3.6-r1.apk2024-10-25 19:10 14K 
[   ]py3-spin-0.8-r0.apk2024-10-25 19:10 19K 
[   ]py3-spin-pyc-0.8-r0.apk2024-10-25 19:10 24K 
[   ]py3-spinners-0.0.24-r5.apk2024-10-25 19:10 6.1K 
[   ]py3-spinners-pyc-0.0.24-r5.apk2024-10-25 19:10 6.3K 
[   ]py3-spnego-0.11.2-r0.apk2025-01-16 07:52 118K 
[   ]py3-spnego-pyc-0.11.2-r0.apk2025-01-16 07:52 219K 
[   ]py3-spotipy-2.24.0-r2.apk2025-05-14 18:17 30K 
[   ]py3-spotipy-pyc-2.24.0-r2.apk2025-05-14 18:17 50K 
[   ]py3-sqlmodel-0.0.22-r1.apk2024-12-06 23:03 26K 
[   ]py3-sqlmodel-pyc-0.0.22-r1.apk2024-12-06 23:03 41K 
[   ]py3-sssd-2.11.0-r0.apk2025-06-06 08:58 62K 
[   ]py3-sssd-pyc-2.11.0-r0.apk2025-06-06 08:58 49K 
[   ]py3-sstash-0.17-r9.apk2024-10-25 19:10 7.7K 
[   ]py3-sstash-pyc-0.17-r9.apk2024-10-25 19:10 10K 
[   ]py3-svglib-1.5.1-r0.apk2025-06-10 19:57 30K 
[   ]py3-svglib-doc-1.5.1-r0.apk2025-06-10 19:57 2.1K 
[   ]py3-svglib-pyc-1.5.1-r0.apk2025-06-10 19:57 42K 
[   ]py3-svgpath-7.0-r0.apk2025-07-08 06:32 19K 
[   ]py3-svgpath-pyc-7.0-r0.apk2025-07-08 06:32 24K 
[   ]py3-swagger-ui-bundle-1.1.0-r1.apk2024-10-25 19:10 2.5M 
[   ]py3-swagger-ui-bundle-pyc-1.1.0-r1.apk2024-10-25 19:10 2.1K 
[   ]py3-synapse-auto-accept-invite-1.2.0-r0.apk2024-10-25 19:10 9.8K 
[   ]py3-synapse-auto-accept-invite-pyc-1.2.0-r0.apk2024-10-25 19:10 5.6K 
[   ]py3-tailer-0.4.1-r7.apk2024-10-25 19:10 6.9K 
[   ]py3-tailer-pyc-0.4.1-r7.apk2024-10-25 19:10 6.6K 
[   ]py3-tasklib-2.5.1-r2.apk2024-10-25 19:10 23K 
[   ]py3-tasklib-pyc-2.5.1-r2.apk2024-10-25 19:10 52K 
[   ]py3-telegram-0.18.0-r3.apk2024-10-25 19:10 14K 
[   ]py3-telegram-bot-21.10-r0.apk2025-02-17 15:08 454K 
[   ]py3-telegram-bot-pyc-21.10-r0.apk2025-02-17 15:08 724K 
[   ]py3-telegram-pyc-0.18.0-r3.apk2024-10-25 19:10 21K 
[   ]py3-telegram-text-0.2.0-r1.apk2024-10-25 19:10 9.2K 
[   ]py3-telegram-text-pyc-0.2.0-r1.apk2024-10-25 19:10 13K 
[   ]py3-telemetrix-1.20-r3.apk2024-10-25 19:10 21K 
[   ]py3-telemetrix-pyc-1.20-r3.apk2024-10-25 19:10 31K 
[   ]py3-teletype-1.3.4-r3.apk2024-10-25 19:10 15K 
[   ]py3-teletype-pyc-1.3.4-r3.apk2024-10-25 19:10 21K 
[   ]py3-testresources-2.0.1-r6.apk2024-10-25 19:10 17K 
[   ]py3-testresources-pyc-2.0.1-r6.apk2024-10-25 19:10 16K 
[   ]py3-textual-3.2.0-r0.apk2025-05-14 10:00 596K 
[   ]py3-textual-pyc-3.2.0-r0.apk2025-05-14 10:00 1.2M 
[   ]py3-tg-0.19.0-r5.apk2024-10-25 19:10 73K 
[   ]py3-tg-pyc-0.19.0-r5.apk2024-10-25 19:10 81K 
[   ]py3-thefuzz-0.22.1-r1.apk2024-10-25 19:10 10K 
[   ]py3-thefuzz-pyc-0.22.1-r1.apk2024-10-25 19:10 8.9K 
[   ]py3-ticket-auth-0.1.4-r9.apk2024-10-25 19:10 6.0K 
[   ]py3-ticket-auth-pyc-0.1.4-r9.apk2024-10-25 19:10 6.4K 
[   ]py3-tidalapi-0.8.4-r0.apk2025-07-12 16:01 50K 
[   ]py3-tidalapi-pyc-0.8.4-r0.apk2025-07-12 16:01 91K 
[   ]py3-timeago-1.0.16-r0.apk2024-10-25 19:10 24K 
[   ]py3-timeago-doc-1.0.16-r0.apk2024-10-25 19:10 2.9K 
[   ]py3-timeago-pyc-1.0.16-r0.apk2024-10-25 19:10 28K 
[   ]py3-tls_parser-2.0.2-r0.apk2025-07-14 07:46 10K 
[   ]py3-tls_parser-pyc-2.0.2-r0.apk2025-07-14 07:46 17K 
[   ]py3-tlslite-ng-0.7.6-r8.apk2024-10-25 19:10 179K 
[   ]py3-tlslite-ng-pyc-0.7.6-r8.apk2024-10-25 19:10 275K 
[   ]py3-tokenizers-0.21.2-r0.apk2025-06-30 07:25 1.5M 
[   ]py3-tokenizers-pyc-0.21.2-r0.apk2025-06-30 07:25 29K 
[   ]py3-tpm2-pytss-2.3.0-r1.apk2024-10-25 19:10 264K 
[   ]py3-tpm2-pytss-pyc-2.3.0-r1.apk2024-10-25 19:10 236K 
[   ]py3-transitions-0.9.2-r0.apk2024-10-25 19:10 98K 
[   ]py3-transitions-pyc-0.9.2-r0.apk2024-10-25 19:10 129K 
[   ]py3-translationstring-1.4-r4.apk2024-10-25 19:10 9.2K 
[   ]py3-translationstring-pyc-1.4-r4.apk2024-10-25 19:10 8.8K 
[   ]py3-trimesh-3.22.1-r2.apk2024-10-25 19:10 629K 
[   ]py3-trimesh-pyc-3.22.1-r2.apk2024-10-25 19:10 731K 
[   ]py3-trivup-0.12.2-r2.apk2024-10-25 19:10 34K 
[   ]py3-trivup-pyc-0.12.2-r2.apk2024-10-25 19:10 55K 
[   ]py3-truststore-0.10.1-r0.apk2025-02-12 17:34 17K 
[   ]py3-truststore-pyc-0.10.1-r0.apk2025-02-12 17:34 26K 
[   ]py3-twiggy-0.5.1-r4.apk2024-10-25 19:10 24K 
[   ]py3-twiggy-pyc-0.5.1-r4.apk2024-10-25 19:10 39K 
[   ]py3-typing_inspect-0.9.0-r2.apk2024-10-25 19:10 9.9K 
[   ]py3-typing_inspect-pyc-0.9.0-r2.apk2024-10-25 19:10 14K 
[   ]py3-u-msgpack-2.8.0-r2.apk2024-10-25 19:10 11K 
[   ]py3-u-msgpack-pyc-2.8.0-r2.apk2024-10-25 19:10 16K 
[   ]py3-uacme-desec-1.2.1-r0.apk2024-10-25 19:10 5.7K 
[   ]py3-uacme-desec-doc-1.2.1-r0.apk2024-10-25 19:10 2.2K 
[   ]py3-uacme-desec-pyc-1.2.1-r0.apk2024-10-25 19:10 6.8K 
[   ]py3-uc-micro-py-1.0.2-r1.apk2024-10-25 19:10 9.1K 
[   ]py3-unearth-0.17.5-r0.apk2025-04-11 05:33 40K 
[   ]py3-unearth-pyc-0.17.5-r0.apk2025-04-11 05:33 82K 
[   ]py3-unicorn-2.0.1-r4.apk2024-10-25 19:10 34K 
[   ]py3-unicorn-hat-2.1.2-r6.apk2024-11-06 09:41 16K 
[   ]py3-unicorn-pyc-2.0.1-r4.apk2024-10-25 19:10 57K 
[   ]py3-unicrypto-0.0.10-r3.apk2025-05-29 12:00 59K 
[   ]py3-unicrypto-pyc-0.0.10-r3.apk2025-05-29 12:00 92K 
[   ]py3-unidns-0.0.1-r3.apk2025-05-29 12:00 13K 
[   ]py3-unidns-examples-0.0.1-r3.apk2025-05-29 12:00 2.6K 
[   ]py3-unidns-pyc-0.0.1-r3.apk2025-05-29 12:00 21K 
[   ]py3-unoconv-0.9.0-r4.apk2025-05-13 22:00 26K 
[   ]py3-uptime-3.0.1-r9.apk2024-10-25 19:10 10K 
[   ]py3-uptime-pyc-3.0.1-r9.apk2024-10-25 19:10 8.7K 
[   ]py3-urlobject-2.4.3-r9.apk2024-10-25 19:10 15K 
[   ]py3-urlobject-pyc-2.4.3-r9.apk2024-10-25 19:10 25K 
[   ]py3-us-3.2.0-r0.apk2024-10-25 19:10 14K 
[   ]py3-us-pyc-3.2.0-r0.apk2024-10-25 19:10 15K 
[   ]py3-utc-0.0.3-r9.apk2024-10-25 19:10 3.5K 
[   ]py3-utc-pyc-0.0.3-r9.apk2024-10-25 19:10 2.8K 
[   ]py3-vatnumber-1.2-r9.apk2024-10-25 19:10 19K 
[   ]py3-vatnumber-pyc-1.2-r9.apk2024-10-25 19:10 8.6K 
[   ]py3-vdf-3.4-r1.apk2024-10-25 19:10 11K 
[   ]py3-vdf-pyc-3.4-r1.apk2024-10-25 19:10 17K 
[   ]py3-venusian-3.1.1-r0.apk2024-12-07 21:14 14K 
[   ]py3-venusian-pyc-3.1.1-r0.apk2024-12-07 21:14 12K 
[   ]py3-virtualenvwrapper-6.1.0-r1.apk2024-10-25 19:10 22K 
[   ]py3-virtualenvwrapper-pyc-6.1.0-r1.apk2024-10-25 19:10 12K 
[   ]py3-visitor-0.1.3-r7.apk2024-10-25 19:10 4.6K 
[   ]py3-visitor-pyc-0.1.3-r7.apk2024-10-25 19:10 2.6K 
[   ]py3-ward-0.67.0_beta0-r2.apk2024-10-25 19:10 41K 
[   ]py3-ward-pyc-0.67.0_beta0-r2.apk2024-10-25 19:10 80K 
[   ]py3-wbdata-1.0.0-r1.apk2024-10-25 19:10 18K 
[   ]py3-wbdata-pyc-1.0.0-r1.apk2024-10-25 19:10 20K 
[   ]py3-webrtcvad-2.0.10-r1.apk2024-10-25 19:10 24K 
[   ]py3-webrtcvad-pyc-2.0.10-r1.apk2024-10-25 19:10 2.8K 
[   ]py3-wg-netns-2.3.1-r1.apk2024-10-25 19:10 7.6K 
[   ]py3-wg-netns-pyc-2.3.1-r1.apk2024-10-25 19:10 13K 
[   ]py3-wgconfig-1.1.0-r0.apk2025-01-29 06:50 22K 
[   ]py3-wgconfig-pyc-1.1.0-r0.apk2025-01-29 06:50 12K 
[   ]py3-wifi-0.3.8-r7.apk2024-10-25 19:10 13K 
[   ]py3-wifi-pyc-0.3.8-r7.apk2024-10-25 19:10 14K 
[   ]py3-winacl-0.1.9-r1.apk2025-05-29 12:00 83K 
[   ]py3-winacl-pyc-0.1.9-r1.apk2025-05-29 12:00 131K 
[   ]py3-wsgiprox-1.5.2-r1.apk2024-10-25 19:10 17K 
[   ]py3-wsgiprox-pyc-1.5.2-r1.apk2024-10-25 19:10 28K 
[   ]py3-wstools-0.4.10-r7.apk2024-10-25 19:10 53K 
[   ]py3-wstools-pyc-0.4.10-r7.apk2024-10-25 19:10 111K 
[   ]py3-wtf-peewee-3.0.6-r0.apk2024-10-25 19:10 13K 
[   ]py3-wtf-peewee-pyc-3.0.6-r0.apk2024-10-25 19:10 25K 
[   ]py3-x-wr-timezone-2.0.1-r0.apk2025-02-09 15:13 12K 
[   ]py3-x-wr-timezone-pyc-2.0.1-r0.apk2025-02-09 15:13 7.1K 
[   ]py3-xapp-2.4.2-r0.apk2024-11-12 11:04 34K 
[   ]py3-xdoctest-1.2.0-r0.apk2024-11-21 13:31 312K 
[   ]py3-xlwt-1.3.0-r10.apk2025-05-13 22:00 94K 
[   ]py3-xlwt-pyc-1.3.0-r10.apk2025-05-13 22:00 165K 
[   ]py3-xsdata-25.7-r0.apk2025-07-07 20:47 190K 
[   ]py3-xsdata-pyc-25.7-r0.apk2025-07-07 20:47 393K 
[   ]py3-yapsy-1.12.2-r7.apk2024-10-25 19:10 32K 
[   ]py3-yapsy-pyc-1.12.2-r7.apk2024-10-25 19:10 47K 
[   ]py3-yara-4.5.1-r0.apk2024-10-25 19:10 19K 
[   ]py3-yosys-0.42-r1.apk2025-06-12 15:22 1.8K 
[   ]py3-youtube-search-1.6.6-r5.apk2025-05-14 18:17 78K 
[   ]py3-youtube-search-pyc-1.6.6-r5.apk2025-05-14 18:17 95K 
[   ]py3-zimscraperlib-3.4.0-r0.apk2024-11-06 09:41 52K 
[   ]py3-zimscraperlib-pyc-3.4.0-r0.apk2024-11-06 09:41 68K 
[   ]py3-zipfile2-0.0.12-r0.apk2024-10-25 19:10 45K 
[   ]py3-zipfile2-pyc-0.0.12-r0.apk2024-10-25 19:10 29K 
[   ]py3-zope-configuration-5.0.1-r2.apk2024-10-25 19:10 39K 
[   ]py3-zope-configuration-pyc-5.0.1-r2.apk2024-10-25 19:10 49K 
[   ]py3-zope-i18nmessageid-6.1.0-r2.apk2024-10-25 19:10 17K 
[   ]py3-zope-i18nmessageid-pyc-6.1.0-r2.apk2024-10-25 19:10 8.0K 
[   ]py3-zope-schema-7.0.1-r3.apk2024-10-25 19:10 45K 
[   ]py3-zope-schema-pyc-7.0.1-r3.apk2024-10-25 19:10 61K 
[   ]pyinfra-3.3.1-r0.apk2025-07-16 08:48 192K 
[   ]pyinfra-pyc-3.3.1-r0.apk2025-07-16 08:48 361K 
[   ]pympress-1.8.5-r1.apk2024-10-25 19:10 181K 
[   ]pympress-doc-1.8.5-r1.apk2024-10-25 19:10 348K 
[   ]pympress-lang-1.8.5-r1.apk2024-10-25 19:10 56K 
[   ]pympress-pyc-1.8.5-r1.apk2024-10-25 19:10 182K 
[   ]pyonji-0.1.0-r6.apk2025-07-12 06:30 2.7M 
[   ]pypy-7.3.12-r0.apk2024-10-25 19:10 18M 
[   ]pypy-bootstrap-7.3.12-r0.apk2024-10-25 19:10 19M 
[   ]pypy-dev-7.3.12-r0.apk2024-10-25 19:10 78K 
[   ]pypy-tkinter-7.3.12-r0.apk2024-10-25 19:10 453K 
[   ]pypy3-7.3.12-r0.apk2024-10-25 19:10 17M 
[   ]pypy3-dev-7.3.12-r0.apk2024-10-25 19:10 556K 
[   ]pypy3-pyc-7.3.12-r0.apk2024-10-25 19:10 5.9M 
[   ]pypy3-tests-7.3.12-r0.apk2024-10-25 19:10 13M 
[   ]pypy3-tkinter-7.3.12-r0.apk2024-10-25 19:10 302K 
[   ]pypykatz-0.6.11-r1.apk2025-05-29 12:00 315K 
[   ]pypykatz-pyc-0.6.11-r1.apk2025-05-29 12:00 721K 
[   ]pyradio-0.9.3.11-r0.apk2024-10-25 19:10 871K 
[   ]pyradio-doc-0.9.3.11-r0.apk2024-10-25 19:10 113K 
[   ]pyradio-pyc-0.9.3.11-r0.apk2024-10-25 19:10 810K 
[   ]qadwaitadecorations-0.1.6-r0.apk2025-05-08 12:22 47K 
[   ]qbittorrent-cli-2.2.0-r1.apk2025-07-12 06:30 5.4M 
[   ]qdjango-0.6.2-r1.apk2024-10-25 19:10 100K 
[   ]qdjango-dev-0.6.2-r1.apk2024-10-25 19:10 14K 
[   ]qflipper-1.3.3-r1.apk2024-10-25 19:10 462K 
[   ]qflipper-gui-1.3.3-r1.apk2024-10-25 19:10 1.1M 
[   ]qgis-3.40.9-r0.apk2025-07-24 00:06 45M 
[   ]qgis-dev-3.40.9-r0.apk2025-07-24 00:06 3.5M 
[   ]qgis-doc-3.40.9-r0.apk2025-07-24 00:06 3.1K 
[   ]qgis-grass-3.40.9-r0.apk2025-07-24 00:06 1.3M 
[   ]qgis-lang-3.40.9-r0.apk2025-07-24 00:06 33M 
[   ]qgis-server-3.40.9-r0.apk2025-07-24 00:06 1.6M 
[   ]qmk-cli-1.1.8-r0.apk2025-06-01 16:52 15K 
[   ]qmk-cli-pyc-1.1.8-r0.apk2025-06-01 16:52 22K 
[   ]qml-box2d-0_git20180406-r0.apk2024-10-25 19:10 138K 
[   ]qoi-0.0.0_git20230312-r0.apk2024-10-25 19:10 1.5K 
[   ]qoi-dev-0.0.0_git20230312-r0.apk2024-10-25 19:10 6.8K 
[   ]qoiconv-0.0.0_git20230312-r0.apk2024-10-25 19:10 30K 
[   ]qownnotes-23.6.6-r0.apk2024-10-25 19:10 2.3M 
[   ]qownnotes-lang-23.6.6-r0.apk2024-10-25 19:10 4.4M 
[   ]qpdfview-0.5-r2.apk2025-01-29 19:49 1.0M 
[   ]qpdfview-doc-0.5-r2.apk2025-01-29 19:49 4.2K 
[   ]qperf-0.4.11-r2.apk2025-05-13 22:00 34K 
[   ]qperf-doc-0.4.11-r2.apk2025-05-13 22:00 5.6K 
[   ]qqc2-suru-style-0.20230206-r1.apk2024-10-25 19:10 174K 
[   ]qspectrumanalyzer-2.2.0-r5.apk2024-10-25 19:10 54K 
[   ]qspectrumanalyzer-pyc-2.2.0-r5.apk2024-10-25 19:10 62K 
[   ]qsstv-9.5.8-r2.apk2024-10-25 19:10 947K 
[   ]qstardict-2.0.2-r1.apk2024-11-24 07:46 451K 
[   ]qstardict-doc-2.0.2-r1.apk2024-11-24 07:46 11K 
[   ]qsynth-1.0.2-r0.apk2024-10-25 19:10 424K 
[   ]qsynth-doc-1.0.2-r0.apk2024-10-25 19:10 4.4K 
[   ]qt-creator-17.0.0-r0.apk2025-07-13 20:00 46M 
[   ]qt-creator-dbg-17.0.0-r0.apk2025-07-13 20:00 486M 
[   ]qt-wayland-shell-helpers-0.1.1-r3.apk2024-10-25 19:10 14K 
[   ]qt-wayland-shell-helpers-dev-0.1.1-r3.apk2024-10-25 19:10 3.9K 
[   ]qt5ct-1.8-r0.apk2024-10-25 19:10 229K 
[   ]qt5ct-dev-1.8-r0.apk2024-10-25 19:10 1.5K 
[   ]qt6ct-0.9-r4.apk2025-07-12 06:30 195K 
[   ]qtile-0.30.0-r0.apk2025-02-12 17:34 436K 
[   ]qtile-pyc-0.30.0-r0.apk2025-02-12 17:34 837K 
[   ]qtmir-0.7.2_git20250407-r1.apk2025-06-28 18:04 510K 
[   ]qtmir-dev-0.7.2_git20250407-r1.apk2025-06-28 18:04 6.6K 
[   ]qtox-1.17.6-r6.apk2024-10-25 19:10 5.1M 
[   ]qtpass-1.4.0-r0.apk2024-10-25 19:10 421K 
[   ]qtpass-doc-1.4.0-r0.apk2024-10-25 19:10 2.1K 
[   ]quakespasm-0.96.3-r0.apk2024-10-25 19:10 485K 
[   ]qucs-s-1.1.0-r1.apk2024-10-25 19:10 3.3M 
[   ]qucs-s-doc-1.1.0-r1.apk2024-10-25 19:10 2.4K 
[   ]qucs-s-lang-1.1.0-r1.apk2024-10-25 19:10 865K 
[   ]queercat-1.0.0-r0.apk2024-10-25 19:10 7.9K 
[   ]quickemu-4.9.7-r0.apk2025-06-15 20:03 56K 
[   ]quickemu-doc-4.9.7-r0.apk2025-06-15 20:03 25K 
[   ]quickjs-ng-0.10.1-r0.apk2025-05-16 17:20 77K 
[   ]quickjs-ng-dev-0.10.1-r0.apk2025-05-16 17:20 14K 
[   ]quickjs-ng-doc-0.10.1-r0.apk2025-05-16 17:20 6.3K 
[   ]quickjs-ng-libs-0.10.1-r0.apk2025-05-16 17:20 328K 
[   ]quodlibet-4.6.0-r2.apk2025-05-13 22:00 1.0M 
[   ]quodlibet-bash-completion-4.6.0-r2.apk2025-05-13 22:00 4.7K 
[   ]quodlibet-doc-4.6.0-r2.apk2025-05-13 22:00 8.7K 
[   ]quodlibet-lang-4.6.0-r2.apk2025-05-13 22:00 1.2M 
[   ]quodlibet-pyc-4.6.0-r2.apk2025-05-13 22:00 1.8M 
[   ]quodlibet-zsh-completion-4.6.0-r2.apk2025-05-13 22:00 2.7K 
[   ]r2ghidra-5.9.4-r2.apk2024-11-20 00:45 14M 
[   ]radarr-5.26.2.10099-r0.apk2025-06-13 16:26 27M 
[   ]radarr-openrc-5.26.2.10099-r0.apk2025-06-13 16:26 2.0K 
[   ]raku-base64-0.1.0-r0.apk2025-06-07 07:48 20K 
[   ]raku-base64-doc-0.1.0-r0.apk2025-06-07 07:48 2.8K 
[   ]raku-cbor-simple-0.1.4-r0.apk2025-06-07 07:48 173K 
[   ]raku-cbor-simple-doc-0.1.4-r0.apk2025-06-07 07:48 7.0K 
[   ]raku-clifford-7.0.1_git20250228-r0.apk2025-07-23 04:04 691K 
[   ]raku-clifford-doc-7.0.1_git20250228-r0.apk2025-07-23 04:04 2.9K 
[   ]raku-cro-0.8.10-r0.apk2025-06-08 16:02 2.5M 
[   ]raku-cro-core-0.8.10-r0.apk2025-06-07 07:48 776K 
[   ]raku-cro-core-doc-0.8.10-r0.apk2025-06-07 07:48 2.4K 
[   ]raku-cro-doc-0.8.10-r0.apk2025-06-08 16:02 2.8K 
[   ]raku-cro-http-0.8.10-r0.apk2025-06-07 07:48 5.3M 
[   ]raku-cro-http-doc-0.8.10-r0.apk2025-06-07 07:48 2.8K 
[   ]raku-cro-tls-0.8.10-r0.apk2025-06-07 07:48 41K 
[   ]raku-cro-tls-doc-0.8.10-r0.apk2025-06-07 07:48 2.2K 
[   ]raku-cro-webapp-0.10.0-r0.apk2025-06-07 07:48 4.5M 
[   ]raku-cro-webapp-doc-0.10.0-r0.apk2025-06-07 07:48 2.4K 
[   ]raku-cro-websocket-0.8.10-r0.apk2025-06-08 16:02 1.8M 
[   ]raku-cro-websocket-doc-0.8.10-r0.apk2025-06-08 16:02 2.4K 
[   ]raku-crypt-random-0.4.1-r0.apk2025-06-07 07:48 109K 
[   ]raku-crypt-random-doc-0.4.1-r0.apk2025-06-07 07:48 2.5K 
[   ]raku-datetime-parse-0.9.3-r0.apk2025-06-07 07:48 48K 
[   ]raku-datetime-parse-doc-0.9.3-r0.apk2025-06-07 07:48 3.0K 
[   ]raku-dbiish-0.6.7-r0.apk2025-07-23 04:04 1.9M 
[   ]raku-dbiish-doc-0.6.7-r0.apk2025-07-23 04:04 14K 
[   ]raku-digest-1.1.0-r0.apk2025-06-07 07:48 862K 
[   ]raku-digest-doc-1.1.0-r0.apk2025-06-07 07:48 2.8K 
[   ]raku-digest-hmac-1.0.6_git20231116-r0.apk2025-06-07 07:48 7.2K 
[   ]raku-digest-hmac-doc-1.0.6_git20231116-r0.apk2025-06-07 07:48 3.3K 
[   ]raku-digest-sha1-native-0.06-r0.apk2025-06-08 16:02 47K 
[   ]raku-digest-sha1-native-doc-0.06-r0.apk2025-06-08 16:02 2.4K 
[   ]raku-docker-file-1.1-r0.apk2025-06-07 07:48 131K 
[   ]raku-docker-file-doc-1.1-r0.apk2025-06-07 07:48 2.5K 
[   ]raku-file-find-0.2.1-r0.apk2025-06-08 16:02 17K 
[   ]raku-file-find-doc-0.2.1-r0.apk2025-06-08 16:02 4.2K 
[   ]raku-file-ignore-1.2-r0.apk2025-06-08 16:02 46K 
[   ]raku-file-ignore-doc-1.2-r0.apk2025-06-08 16:02 4.2K 
[   ]raku-file-which-1.0.4-r0.apk2025-06-08 16:02 106K 
[   ]raku-file-which-doc-1.0.4-r0.apk2025-06-08 16:02 3.6K 
[   ]raku-functionalparsers-0.1.10-r0.apk2025-07-23 04:04 1.8M 
[   ]raku-functionalparsers-doc-0.1.10-r0.apk2025-07-23 04:04 8.8K 
[   ]raku-html-escape-0.0.1-r0.apk2025-07-23 04:04 12K 
[   ]raku-html-escape-doc-0.0.1-r0.apk2025-07-23 04:04 2.5K 
[   ]raku-http-hpack-1.0.3-r0.apk2025-06-07 07:48 88K 
[   ]raku-http-hpack-doc-1.0.3-r0.apk2025-06-07 07:48 3.2K 
[   ]raku-if-0.1.3-r0.apk2025-06-07 07:48 28K 
[   ]raku-if-doc-0.1.3-r0.apk2025-06-07 07:48 2.6K 
[   ]raku-io-path-childsecure-1.2-r0.apk2025-06-07 07:48 11K 
[   ]raku-io-path-childsecure-doc-1.2-r0.apk2025-06-07 07:48 2.9K 
[   ]raku-io-socket-async-ssl-0.8.2-r0.apk2025-06-07 07:48 276K 
[   ]raku-io-socket-async-ssl-doc-0.8.2-r0.apk2025-06-07 07:48 6.2K 
[   ]raku-json-class-0.0.21-r0.apk2025-06-07 07:48 48K 
[   ]raku-json-class-doc-0.0.21-r0.apk2025-06-07 07:48 3.3K 
[   ]raku-json-jwt-1.1.2-r0.apk2025-06-07 07:48 36K 
[   ]raku-json-jwt-doc-1.1.2-r0.apk2025-06-07 07:48 3.2K 
[   ]raku-json-marshal-0.0.25-r0.apk2025-06-07 07:48 71K 
[   ]raku-json-marshal-doc-0.0.25-r0.apk2025-06-07 07:48 3.7K 
[   ]raku-json-name-0.0.7-r0.apk2025-06-07 07:48 37K 
[   ]raku-json-name-doc-0.0.7-r0.apk2025-06-07 07:48 2.8K 
[   ]raku-json-optin-0.0.2-r0.apk2025-06-07 07:48 22K 
[   ]raku-json-optin-doc-0.0.2-r0.apk2025-06-07 07:48 2.7K 
[   ]raku-json-unmarshal-0.18-r0.apk2025-06-07 07:48 72K 
[   ]raku-json-unmarshal-doc-0.18-r0.apk2025-06-07 07:48 3.3K 
[   ]raku-librarymake-1.0.5-r0.apk2025-06-08 16:02 37K 
[   ]raku-librarymake-doc-1.0.5-r0.apk2025-06-08 16:02 4.5K 
[   ]raku-log-timeline-0.5.2-r0.apk2025-06-07 07:48 278K 
[   ]raku-log-timeline-doc-0.5.2-r0.apk2025-06-07 07:48 5.4K 
[   ]raku-meta6-0.0.30-r0.apk2025-06-07 07:48 108K 
[   ]raku-meta6-doc-0.0.30-r0.apk2025-06-07 07:48 3.2K 
[   ]raku-monad-0.1.2-r0.apk2025-07-23 04:04 3.6M 
[   ]raku-monad-doc-0.1.2-r0.apk2025-07-23 04:04 3.4K 
[   ]raku-nativehelpers-blob-0.1.12-r0.apk2025-07-23 04:04 196K 
[   ]raku-nativehelpers-blob-doc-0.1.12-r0.apk2025-07-23 04:04 3.4K 
[   ]raku-nativelibs-0.0.9-r0.apk2025-07-23 04:04 86K 
[   ]raku-nativelibs-doc-0.0.9-r0.apk2025-07-23 04:04 2.6K 
[   ]raku-oo-monitors-1.1.5-r0.apk2025-06-07 07:48 13K 
[   ]raku-oo-monitors-doc-1.1.5-r0.apk2025-06-07 07:48 2.9K 
[   ]raku-protocol-mqtt-0.0.4-r0.apk2025-06-08 16:02 223K 
[   ]raku-protocol-mqtt-doc-0.0.4-r0.apk2025-06-08 16:02 2.3K 
[   ]raku-shell-command-1.1-r0.apk2025-06-08 16:02 40K 
[   ]raku-shell-command-doc-1.1-r0.apk2025-06-08 16:02 3.5K 
[   ]raku-terminal-quickcharts-0.0.2-r0.apk2025-06-08 16:02 214K 
[   ]raku-terminal-quickcharts-doc-0.0.2-r0.apk2025-06-08 16:02 3.1K 
[   ]raku-text-markdown-1.1.1_git20221121-r0.apk2025-07-23 04:04 104K 
[   ]raku-text-markdown-doc-1.1.1_git20221121-r0.apk2025-07-23 04:04 3.5K 
[   ]raku-time-crontab-1.0.0-r0.apk2025-07-23 04:04 122K 
[   ]raku-time-crontab-doc-1.0.0-r0.apk2025-07-23 04:04 3.4K 
[   ]raku-tinyfloats-0.0.5-r0.apk2025-06-07 07:48 35K 
[   ]raku-tinyfloats-doc-0.0.5-r0.apk2025-06-07 07:48 4.1K 
[   ]randrctl-1.10.0-r0.apk2024-11-20 00:45 28K 
[   ]randrctl-pyc-1.10.0-r0.apk2024-11-20 00:45 31K 
[   ]rankwidth-0.9-r3.apk2024-10-25 19:10 5.7K 
[   ]rankwidth-dev-0.9-r3.apk2024-10-25 19:10 2.9K 
[   ]rankwidth-doc-0.9-r3.apk2024-10-25 19:10 3.0K 
[   ]rankwidth-libs-0.9-r3.apk2024-10-25 19:10 5.2K 
[   ]rankwidth-static-0.9-r3.apk2024-10-25 19:10 4.3K 
[   ]raspberrypi-usbboot-20250227-r0.apk2025-06-09 08:41 888K 
[   ]rathole-0.5.0-r0.apk2024-10-25 19:10 1.3M 
[   ]rattler-build-0.18.0-r0.apk2024-10-25 19:10 5.5M 
[   ]rattler-build-bash-completion-0.18.0-r0.apk2024-10-25 19:10 3.6K 
[   ]rattler-build-doc-0.18.0-r0.apk2024-10-25 19:10 6.7K 
[   ]rattler-build-fish-completion-0.18.0-r0.apk2024-10-25 19:10 4.7K 
[   ]rattler-build-zsh-completion-0.18.0-r0.apk2024-10-25 19:10 5.4K 
[   ]rauc-1.10.1-r0.apk2024-10-25 19:10 142K 
[   ]rauc-doc-1.10.1-r0.apk2024-10-25 19:10 4.2K 
[   ]rauc-service-1.10.1-r0.apk2024-10-25 19:10 3.7K 
[   ]razercfg-0.42-r7.apk2024-10-25 19:10 77K 
[   ]razercfg-gui-0.42-r7.apk2024-10-25 19:10 19K 
[   ]razercfg-openrc-0.42-r7.apk2024-10-25 19:10 1.7K 
[   ]razercfg-pyc-0.42-r7.apk2024-10-25 19:10 36K 
[   ]rclone-browser-1.8.0-r1.apk2024-10-25 19:10 323K 
[   ]rdedup-3.2.1-r5.apk2024-10-25 19:10 753K 
[   ]rdrview-0.1.3-r0.apk2025-02-22 19:23 28K 
[   ]rdrview-doc-0.1.3-r0.apk2025-02-22 19:23 3.7K 
[   ]reaction-2.1.2-r0.apk2025-07-15 07:52 1.3M 
[   ]reaction-openrc-2.1.2-r0.apk2025-07-15 07:52 1.8K 
[   ]reaction-tools-2.1.2-r0.apk2025-07-15 07:52 6.6K 
[   ]readosm-1.1.0-r3.apk2025-05-13 22:00 15K 
[   ]readosm-dev-1.1.0-r3.apk2025-05-13 22:00 20K 
[   ]reason-3.8.2-r1.apk2024-10-25 19:10 26M 
[   ]reason-rtop-3.8.2-r1.apk2024-10-25 19:10 24M 
[   ]reaver-wps-fork-t6x-1.6.6-r1.apk2024-10-25 19:10 445K 
[   ]recoll-1.37.5-r1.apk2024-10-25 19:10 2.7M 
[   ]recoll-dev-1.37.5-r1.apk2024-10-25 19:10 53K 
[   ]recoll-doc-1.37.5-r1.apk2024-10-25 19:10 21K 
[   ]recyclarr-7.4.1-r0.apk2025-02-24 11:55 2.6M 
[   ]recyclarr-doc-7.4.1-r0.apk2025-02-24 11:55 2.2K 
[   ]redhat-fonts-4.1.0-r0.apk2025-03-26 11:51 809K 
[   ]refine-0.5.10-r0.apk2025-07-03 00:06 33K 
[   ]refine-lang-0.5.10-r0.apk2025-07-03 00:06 33K 
[   ]reflex-20241231-r0.apk2025-06-21 07:06 63K 
[   ]reflex-dev-20241231-r0.apk2025-06-21 07:06 4.6K 
[   ]reflex-doc-20241231-r0.apk2025-06-21 07:06 37K 
[   ]reg-0.16.1-r29.apk2025-07-12 06:30 4.4M 
[   ]regal-0.33.1-r1.apk2025-07-12 06:30 11M 
[   ]regal-bash-completion-0.33.1-r1.apk2025-07-12 06:30 6.1K 
[   ]regal-fish-completion-0.33.1-r1.apk2025-07-12 06:30 4.3K 
[   ]regal-zsh-completion-0.33.1-r1.apk2025-07-12 06:30 4.0K 
[   ]regclient-0.8.3-r1.apk2025-07-12 06:30 13M 
[   ]remake-1.5-r1.apk2024-10-25 19:10 138K 
[   ]remake-dev-1.5-r1.apk2024-10-25 19:10 2.9K 
[   ]remake-doc-1.5-r1.apk2024-10-25 19:10 202K 
[   ]remake-make-1.5-r1.apk2024-10-25 19:10 1.5K 
[   ]remco-0.12.5-r1.apk2025-07-12 06:30 9.2M 
[   ]remco-doc-0.12.5-r1.apk2025-07-12 06:30 2.3K 
[   ]remco-openrc-0.12.5-r1.apk2025-07-12 06:30 1.7K 
[   ]remind-caldav-0.8.0-r4.apk2024-10-25 19:10 18K 
[   ]remind-caldav-pyc-0.8.0-r4.apk2024-10-25 19:10 6.1K 
[   ]repgrep-0.15.0-r0.apk2024-10-25 19:10 1.2M 
[   ]repgrep-bash-completion-0.15.0-r0.apk2024-10-25 19:10 1.6K 
[   ]repgrep-doc-0.15.0-r0.apk2024-10-25 19:10 6.5K 
[   ]repgrep-fish-completion-0.15.0-r0.apk2024-10-25 19:10 4.1K 
[   ]repgrep-zsh-completion-0.15.0-r0.apk2024-10-25 19:10 1.6K 
[   ]repo-2.53-r0.apk2025-03-26 11:51 17K 
[   ]repo-doc-2.53-r0.apk2025-03-26 11:51 38K 
[   ]repowerd-2023.07-r3.apk2025-02-17 15:08 859K 
[   ]repowerd-openrc-2023.07-r3.apk2025-02-17 15:08 1.7K 
[   ]reprotest-0.7.29-r0.apk2024-12-23 04:07 80K 
[   ]reprotest-pyc-0.7.29-r0.apk2024-12-23 04:07 103K 
[   ]resources-1.8.0-r1.apk2025-05-09 21:39 2.2M 
[   ]resources-lang-1.8.0-r1.apk2025-05-09 21:39 126K 
[   ]responder-3.1.5.0-r0.apk2024-10-25 19:10 750K 
[   ]restart-services-0.17.0-r0.apk2024-10-25 19:10 12K 
[   ]restart-services-doc-0.17.0-r0.apk2024-10-25 19:10 5.9K 
[   ]restic.mk-0.4.0-r0.apk2024-10-25 19:10 2.9K 
[   ]restinio-0.6.19-r1.apk2024-12-14 19:38 1.2K 
[   ]restinio-dev-0.6.19-r1.apk2024-12-14 19:38 268K 
[   ]rezolus-2.11.1-r3.apk2024-10-25 19:10 857K 
[   ]rezolus-doc-2.11.1-r3.apk2024-10-25 19:10 3.4K 
[   ]rezolus-openrc-2.11.1-r3.apk2024-10-25 19:10 2.1K 
[   ]rgxg-0.1.2-r2.apk2024-10-25 19:10 15K 
[   ]rgxg-dev-0.1.2-r2.apk2024-10-25 19:10 3.5K 
[   ]rgxg-doc-0.1.2-r2.apk2024-10-25 19:10 12K 
[   ]rhasspy-nlu-0.4.0-r3.apk2024-10-25 19:10 44K 
[   ]rhasspy-nlu-pyc-0.4.0-r3.apk2024-10-25 19:10 73K 
[   ]riemann-cli-0.8.0-r2.apk2024-10-25 19:10 525K 
[   ]rinetd-0.73-r0.apk2024-10-25 19:10 15K 
[   ]rinetd-doc-0.73-r0.apk2024-10-25 19:10 16K 
[   ]rinetd-openrc-0.73-r0.apk2024-10-25 19:10 1.7K 
[   ]rio-0.2.22-r0.apk2025-07-23 04:04 9.8M 
[   ]rio-doc-0.2.22-r0.apk2025-07-23 04:04 2.3K 
[   ]rio-terminfo-0.2.22-r0.apk2025-07-23 04:04 3.4K 
[   ]ripasso-cursive-0.7.0-r0.apk2025-05-23 19:48 2.9M 
[   ]river-bedload-0.1.1-r2.apk2025-05-24 02:12 175K 
[   ]river-bedload-doc-0.1.1-r2.apk2025-05-24 02:12 2.3K 
[   ]river-bedload-zsh-completion-0.1.1-r2.apk2025-05-24 02:12 1.9K 
[   ]river-luatile-0.1.4-r0.apk2025-05-19 12:47 234K 
[   ]river-shifttags-0.2.1-r1.apk2025-05-13 22:00 6.2K 
[   ]river-shifttags-doc-0.2.1-r1.apk2025-05-13 22:00 2.4K 
[   ]rivercarro-0.5.0-r2.apk2025-05-24 02:12 188K 
[   ]rivercarro-doc-0.5.0-r2.apk2025-05-24 02:12 3.1K 
[   ]rizin-0.8.1-r0.apk2025-06-30 16:49 2.7M 
[   ]rizin-cutter-2.4.1-r0.apk2025-06-30 16:49 2.5M 
[   ]rizin-cutter-dev-2.4.1-r0.apk2025-06-30 16:49 103K 
[   ]rizin-dev-0.8.1-r0.apk2025-06-30 16:49 323K 
[   ]rizin-doc-0.8.1-r0.apk2025-06-30 16:49 19K 
[   ]rizin-libs-0.8.1-r0.apk2025-06-30 16:49 5.0M 
[   ]rkdeveloptool-1.1.0-r1.apk2024-10-25 19:10 56K 
[   ]rkdeveloptool-doc-1.1.0-r1.apk2024-10-25 19:10 3.0K 
[   ]rke-1.4.3-r16.apk2025-07-12 06:30 19M 
[   ]rke-doc-1.4.3-r16.apk2025-07-12 06:30 3.0K 
[   ]rmlint-2.10.2-r2.apk2024-10-25 19:10 131K 
[   ]rmlint-doc-2.10.2-r2.apk2024-10-25 19:10 18K 
[   ]rmlint-lang-2.10.2-r2.apk2024-10-25 19:10 19K 
[   ]rmlint-shredder-2.10.2-r2.apk2024-10-25 19:10 96K 
[   ]rmlint-shredder-pyc-2.10.2-r2.apk2024-10-25 19:10 124K 
[   ]rofi-json-menu-0.2.0-r1.apk2024-10-25 19:10 5.6K 
[   ]rofi-pass-2.0.2-r2.apk2024-10-25 19:10 8.8K 
[   ]rofi-pass-doc-2.0.2-r2.apk2024-10-25 19:10 5.0K 
[   ]roll-2.6.1-r0.apk2025-05-26 23:03 13K 
[   ]roll-bash-completion-2.6.1-r0.apk2025-05-26 23:03 1.9K 
[   ]roll-doc-2.6.1-r0.apk2025-05-26 23:03 11K 
[   ]rosdep-0.19.0-r6.apk2024-10-25 19:10 66K 
[   ]rosdep-pyc-0.19.0-r6.apk2024-10-25 19:10 119K 
[   ]rosenpass-0.2.2-r1.apk2025-02-01 18:23 896K 
[   ]rpg-cli-1.2.0-r0.apk2024-10-25 19:10 546K 
[   ]rpi-imager-1.9.0-r1.apk2025-07-24 08:08 696K 
[   ]rpi-imager-doc-1.9.0-r1.apk2025-07-24 08:08 3.1K 
[   ]rpicam-apps-1.5.3-r1.apk2025-06-26 08:15 436K 
[   ]rpicam-apps-doc-1.5.3-r1.apk2025-06-26 08:15 2.4K 
[   ]rss-email-0.5.0-r0.apk2024-10-25 19:10 2.1M 
[   ]rss-email-doc-0.5.0-r0.apk2024-10-25 19:10 6.4K 
[   ]rsstail-2.2-r0.apk2025-02-13 07:17 8.6K 
[   ]rsstail-doc-2.2-r0.apk2025-02-13 07:17 2.8K 
[   ]rt5-5.0.8-r0.apk2025-06-15 04:44 17M 
[   ]rt6-6.0.0-r0.apk2025-06-21 15:39 12M 
[   ]rtaudio-6.0.1-r0.apk2025-04-18 04:55 40K 
[   ]rtaudio-dev-6.0.1-r0.apk2025-04-18 04:55 64K 
[   ]rtaudio-doc-6.0.1-r0.apk2025-04-18 04:55 194K 
[   ]rtl-power-fftw-20200601-r4.apk2024-10-25 19:10 60K 
[   ]rtl-power-fftw-doc-20200601-r4.apk2024-10-25 19:10 8.2K 
[   ]rtl88x2bu-src-5.13.1_git20230711-r0.apk2024-10-25 19:10 4.0M 
[   ]rtl8812au-src-5.6.4.2_git20250530-r0.apk2025-05-31 16:23 2.6M 
[   ]rtmidi-6.0.0-r0.apk2024-10-25 19:10 30K 
[   ]rtmidi-dev-6.0.0-r0.apk2024-10-25 19:10 14K 
[   ]rtptools-1.22-r2.apk2024-10-25 19:10 37K 
[   ]rtptools-doc-1.22-r2.apk2024-10-25 19:10 13K 
[   ]rtw89-src-7_p20230725-r0.apk2024-10-25 19:10 759K 
[   ]ruby-ansi-1.5.0-r0.apk2025-07-06 18:24 26K 
[   ]ruby-appraisal-2.5.0-r0.apk2025-05-25 23:52 11K 
[   ]ruby-appraisal-doc-2.5.0-r0.apk2025-05-25 23:52 2.3K 
[   ]ruby-benchmark-ips-2.14.0-r0.apk2025-05-25 23:54 14K 
[   ]ruby-benchmark-ips-doc-2.14.0-r0.apk2025-05-25 23:54 2.3K 
[   ]ruby-build-20250507-r0.apk2025-05-15 15:34 91K 
[   ]ruby-build-doc-20250507-r0.apk2025-05-15 15:34 4.8K 
[   ]ruby-build-runtime-20250507-r0.apk2025-05-15 15:34 1.3K 
[   ]ruby-byebug-11.1.3-r0.apk2025-05-25 23:55 125K 
[   ]ruby-coderay-1.1.3-r0.apk2025-05-25 23:56 89K 
[   ]ruby-coderay-doc-1.1.3-r0.apk2025-05-25 23:56 2.3K 
[   ]ruby-dry-inflector-1.1.0-r1.apk2025-05-03 20:03 8.2K 
[   ]ruby-dry-inflector-doc-1.1.0-r1.apk2025-05-03 20:03 2.3K 
[   ]ruby-event_emitter-0.2.6-r0.apk2025-05-26 00:00 3.1K 
[   ]ruby-event_emitter-doc-0.2.6-r0.apk2025-05-26 00:00 2.3K 
[   ]ruby-facter-4.9.0-r1.apk2025-05-03 20:03 218K 
[   ]ruby-fast_gettext-3.1.0-r0.apk2025-05-25 23:58 22K 
[   ]ruby-hashdiff-1.1.1-r1.apk2025-05-03 20:03 8.4K 
[   ]ruby-hashdiff-doc-1.1.1-r1.apk2025-05-03 20:03 2.2K 
[   ]ruby-hoe-4.2.2-r0.apk2025-07-06 18:24 28K 
[   ]ruby-libguestfs-1.56.1-r0.apk2025-07-23 04:04 101K 
[   ]ruby-minitest-autotest-1.1.1-r0.apk2025-07-06 18:24 14K 
[   ]ruby-minitest-focus-1.3.1-r0.apk2025-07-06 18:24 5.2K 
[   ]ruby-minitest-power_assert-0.3.1-r0.apk2025-07-06 18:24 2.2K 
[   ]ruby-minitest-proveit-1.0.0-r0.apk2025-07-06 18:24 4.8K 
[   ]ruby-minitest-reporters-1.7.1-r0.apk2025-07-06 18:24 20K 
[   ]ruby-minitest-server-1.0.8-r0.apk2025-07-06 18:24 5.4K 
[   ]ruby-path_expander-1.1.3-r0.apk2025-07-06 18:24 6.4K 
[   ]ruby-ruby-progressbar-1.13.0-r0.apk2025-07-06 18:24 25K 
[   ]ruff-lsp-0.0.62-r0.apk2025-03-11 03:55 21K 
[   ]ruff-lsp-pyc-0.0.62-r0.apk2025-03-11 03:55 35K 
[   ]runst-0.1.7-r0.apk2024-10-25 19:10 1.6M 
[   ]runst-doc-0.1.7-r0.apk2024-10-25 19:10 7.7K 
[   ]ruri-3.8-r0.apk2025-06-24 02:43 82K 
[   ]ruri-doc-3.8-r0.apk2025-06-24 02:43 2.2K 
[   ]rust-script-0.35.0-r0.apk2024-10-27 16:47 855K 
[   ]rustdesk-server-1.1.10.3-r0.apk2024-10-25 19:10 2.4M 
[   ]rustdesk-server-openrc-1.1.10.3-r0.apk2024-10-25 19:10 2.2K 
[   ]rustic-0.9.3-r0.apk2024-10-25 19:10 5.8M 
[   ]rustic-bash-completion-0.9.3-r0.apk2024-10-25 19:10 8.6K 
[   ]rustic-fish-completion-0.9.3-r0.apk2024-10-25 19:10 17K 
[   ]rustic-zsh-completion-0.9.3-r0.apk2024-10-25 19:10 13K 
[   ]rustical-0.6.5-r0.apk2025-07-23 04:04 4.1M 
[   ]rustscan-2.3.0-r0.apk2024-10-25 19:10 1.4M 
[   ]ruuvi-prometheus-0.1.9-r3.apk2025-07-12 06:30 3.2M 
[   ]ruuvi-prometheus-openrc-0.1.9-r3.apk2025-07-12 06:30 1.7K 
[   ]rvlprog-0.91-r2.apk2024-10-25 19:10 26K 
[   ]ry-0.5.2-r1.apk2024-10-25 19:10 4.6K 
[   ]ry-bash-completion-0.5.2-r1.apk2024-10-25 19:10 2.0K 
[   ]ry-zsh-completion-0.5.2-r1.apk2024-10-25 19:10 2.3K 
[   ]rygel-0.44.2-r0.apk2025-03-26 11:51 773K 
[   ]rygel-dev-0.44.2-r0.apk2025-03-26 11:51 43K 
[   ]rygel-doc-0.44.2-r0.apk2025-03-26 11:51 9.6K 
[   ]rygel-lang-0.44.2-r0.apk2025-03-26 11:51 567K 
[   ]s-dkim-sign-0.6.2-r0.apk2024-10-25 19:10 55K 
[   ]s-dkim-sign-doc-0.6.2-r0.apk2024-10-25 19:10 8.5K 
[   ]s-postgray-0.8.3-r0.apk2024-10-25 19:10 44K 
[   ]s-postgray-doc-0.8.3-r0.apk2024-10-25 19:10 9.6K 
[   ]s5cmd-2.3.0-r4.apk2025-07-12 06:30 4.8M 
[   ]saait-0.8-r0.apk2024-10-25 19:10 7.3K 
[   ]saait-doc-0.8-r0.apk2024-10-25 19:10 13K 
[   ]sacc-1.07-r0.apk2024-10-25 19:10 16K 
[   ]sacc-doc-1.07-r0.apk2024-10-25 19:10 2.9K 
[   ]sandbar-0.1-r1.apk2025-05-14 18:17 15K 
[   ]satellite-1.0.0-r29.apk2025-07-12 06:30 2.1M 
[   ]satellite-doc-1.0.0-r29.apk2025-07-12 06:30 3.0K 
[   ]satellite-openrc-1.0.0-r29.apk2025-07-12 06:30 1.9K 
[   ]sauerbraten-2020.12.29-r4.apk2025-02-22 15:38 934M 
[   ]sbase-0_git20210730-r3.apk2024-10-25 19:10 121K 
[   ]sbase-doc-0_git20210730-r3.apk2024-10-25 19:10 58K 
[   ]sblg-0.5.11-r0.apk2024-10-25 19:10 39K 
[   ]sblg-doc-0.5.11-r0.apk2024-10-25 19:10 1.3M 
[   ]sblim-sfcc-2.2.8-r3.apk2024-10-25 19:10 54K 
[   ]sblim-sfcc-dev-2.2.8-r3.apk2024-10-25 19:10 22K 
[   ]sblim-sfcc-doc-2.2.8-r3.apk2024-10-25 19:10 35K 
[   ]sblim-wbemcli-1.6.3-r1.apk2024-10-25 19:10 94K 
[   ]sblim-wbemcli-doc-1.6.3-r1.apk2024-10-25 19:10 4.5K 
[   ]sc-controller-0.5.1-r0.apk2025-03-26 22:43 1.3M 
[   ]sc-controller-pyc-0.5.1-r0.apk2025-03-26 22:43 813K 
[   ]sc3-plugins-3.13.0-r2.apk2025-02-08 23:46 9.8M 
[   ]scalingo-1.30.0-r11.apk2025-07-12 06:30 5.1M 
[   ]scap-workbench-1.2.1-r3.apk2024-10-25 19:10 229K 
[   ]scap-workbench-doc-1.2.1-r3.apk2024-10-25 19:10 1.6M 
[   ]schismtracker-20231029-r0.apk2024-10-25 19:10 384K 
[   ]schismtracker-doc-20231029-r0.apk2024-10-25 19:10 6.2K 
[   ]scooper-1.3-r1.apk2024-10-25 19:10 519K 
[   ]scooper-doc-1.3-r1.apk2024-10-25 19:10 2.6K 
[   ]screen-message-0.29-r0.apk2025-04-13 20:28 11K 
[   ]screen-message-doc-0.29-r0.apk2025-04-13 20:28 3.6K 
[   ]screenkey-1.5-r7.apk2025-07-23 04:04 76K 
[   ]screenkey-doc-1.5-r7.apk2025-07-23 04:04 11K 
[   ]screenkey-pyc-1.5-r7.apk2025-07-23 04:04 73K 
[   ]sct-2018.12.18-r1.apk2024-10-25 19:10 3.7K 
[   ]sdl3_image-3.2.4-r0.apk2025-03-26 11:51 78K 
[   ]sdl3_image-dev-3.2.4-r0.apk2025-03-26 11:51 12K 
[   ]sdl3_image-doc-3.2.4-r0.apk2025-03-26 11:51 2.1K 
[   ]sdparm-1.12-r1.apk2024-10-25 19:10 148K 
[   ]sdparm-doc-1.12-r1.apk2024-10-25 19:10 19K 
[   ]sdrangel-7.22.7-r1.apk2025-07-07 07:32 45M 
[   ]seaweedfs-3.93-r0.apk2025-07-13 20:34 25M 
[   ]seaweedfs-doc-3.93-r0.apk2025-07-13 20:34 14K 
[   ]seaweedfs-openrc-3.93-r0.apk2025-07-13 20:34 1.9K 
[   ]secsipidx-1.3.2-r13.apk2025-07-12 06:30 2.6M 
[   ]secsipidx-dev-1.3.2-r13.apk2025-07-12 06:30 5.5M 
[   ]secsipidx-libs-1.3.2-r13.apk2025-07-12 06:30 2.3M 
[   ]sedutil-1.15.1-r1.apk2024-10-25 19:10 180K 
[   ]sedutil-doc-1.15.1-r1.apk2024-10-25 19:10 3.1K 
[   ]seed7-05.20240322-r0.apk2024-10-25 19:10 10M 
[   ]seed7-doc-05.20240322-r0.apk2024-10-25 19:10 1.6M 
[   ]seed7-nano-05.20240322-r0.apk2024-10-25 19:10 2.5K 
[   ]seed7-vim-05.20240322-r0.apk2024-10-25 19:10 4.0K 
[   ]sentinel-minipot-2.3.0-r1.apk2024-10-25 19:10 44K 
[   ]sentinel-minipot-openrc-2.3.0-r1.apk2024-10-25 19:10 2.6K 
[   ]sentinel-proxy-2.1.0-r1.apk2025-06-13 15:19 44K 
[   ]sentinel-proxy-dev-2.1.0-r1.apk2025-06-13 15:19 4.5K 
[   ]sentinel-proxy-openrc-2.1.0-r1.apk2025-06-13 15:19 2.2K 
[   ]sentrypeer-4.0.4-r0.apk2025-03-26 11:51 1.7M 
[   ]sentrypeer-doc-4.0.4-r0.apk2025-03-26 11:51 3.3K 
[   ]serialdv-1.1.4-r1.apk2025-02-08 23:46 6.9K 
[   ]serialdv-dev-1.1.4-r1.apk2025-02-08 23:46 5.1K 
[   ]serialdv-libs-1.1.4-r1.apk2025-02-08 23:46 62K 
[   ]serie-0.4.6-r0.apk2025-05-05 08:09 750K 
[   ]serie-doc-0.4.6-r0.apk2025-05-05 08:09 6.6K 
[   ]setroot-2.0.2-r1.apk2024-10-25 19:10 11K 
[   ]setroot-doc-2.0.2-r1.apk2024-10-25 19:10 4.4K 
[   ]sfizz-1.2.3-r0.apk2025-05-16 20:14 1.6M 
[   ]sfizz-dev-1.2.3-r0.apk2025-05-16 20:14 15K 
[   ]sfizz-doc-1.2.3-r0.apk2025-05-16 20:14 3.0K 
[   ]sflowtool-6.02-r0.apk2024-10-25 19:10 42K 
[   ]sflowtool-doc-6.02-r0.apk2024-10-25 19:10 9.4K 
[   ]sfwbar-1.0_beta16-r1.apk2024-12-12 19:02 288K 
[   ]sfwbar-doc-1.0_beta16-r1.apk2024-12-12 19:02 26K 
[   ]sgt-puzzles-0_git20230310-r2.apk2024-10-25 19:10 3.0M 
[   ]shadowsocks-libev-3.3.5-r4.apk2024-10-25 19:10 224K 
[   ]shadowsocks-libev-dev-3.3.5-r4.apk2024-10-25 19:10 3.5K 
[   ]shadowsocks-libev-doc-3.3.5-r4.apk2024-10-25 19:10 28K 
[   ]shc-4.0.3-r2.apk2024-10-25 19:10 17K 
[   ]shellinabox-2.21-r3.apk2024-10-25 19:10 119K 
[   ]shellinabox-doc-2.21-r3.apk2024-10-25 19:10 19K 
[   ]shellinabox-openrc-2.21-r3.apk2024-10-25 19:10 3.5K 
[   ]shfm-0.4.2-r1.apk2024-10-25 19:10 4.0K 
[   ]shfm-doc-0.4.2-r1.apk2024-10-25 19:10 6.1K 
[   ]shine-3.1.1-r0.apk2024-10-25 19:10 54K 
[   ]shipments-0.3.0-r0.apk2024-10-25 19:10 23K 
[   ]shntool-3.0.10-r5.apk2025-02-24 21:33 58K 
[   ]shntool-doc-3.0.10-r5.apk2025-02-24 21:33 10K 
[   ]shutdown-clear-machine-id-1.0.0-r0.apk2024-10-25 19:10 1.8K 
[   ]sigil-2.1.0-r0.apk2024-10-25 19:10 4.0M 
[   ]sigil-lang-2.1.0-r0.apk2024-10-25 19:10 3.1M 
[   ]sigma-0.23.1-r1.apk2024-10-25 19:10 237K 
[   ]sigma-pyc-0.23.1-r1.apk2024-10-25 19:10 340K 
[   ]signal-desktop-7.61.0-r0.apk2025-07-12 06:30 43M 
[   ]sigrok-cli-0.7.2-r0.apk2024-10-25 19:10 38K 
[   ]sigrok-cli-doc-0.7.2-r0.apk2024-10-25 19:10 8.0K 
[   ]sigrok-firmware-fx2lafw-bin-0.1.7-r0.apk2024-10-25 19:10 13K 
[   ]silc-client-1.1.11-r18.apk2025-06-30 07:25 859K 
[   ]silc-client-doc-1.1.11-r18.apk2025-06-30 07:25 82K 
[   ]simavr-1.7-r1.apk2024-10-25 19:10 91K 
[   ]simavr-dev-1.7-r1.apk2024-10-25 19:10 806K 
[   ]simgear-2024.1.1-r0.apk2025-03-05 00:16 2.1M 
[   ]simgear-dev-2024.1.1-r0.apk2025-03-05 00:16 404K 
[   ]simh-3.11.1-r1.apk2024-10-25 19:10 3.1M 
[   ]simp1e-cursors-0_git20250312-r0.apk2025-03-26 11:51 447K 
[   ]simp1e-cursors-adw-0_git20250312-r0.apk2025-03-26 11:51 478K 
[   ]simp1e-cursors-adw-dark-0_git20250312-r0.apk2025-03-26 11:51 482K 
[   ]simp1e-cursors-adw-dark-left-0_git20250312-r0.apk2025-03-26 11:51 485K 
[   ]simp1e-cursors-adw-left-0_git20250312-r0.apk2025-03-26 11:51 483K 
[   ]simp1e-cursors-breeze-0_git20250312-r0.apk2025-03-26 11:51 504K 
[   ]simp1e-cursors-breeze-dark-0_git20250312-r0.apk2025-03-26 11:51 520K 
[   ]simp1e-cursors-breeze-dark-left-0_git20250312-r0.apk2025-03-26 11:51 526K 
[   ]simp1e-cursors-breeze-left-0_git20250312-r0.apk2025-03-26 11:51 509K 
[   ]simp1e-cursors-catppuccin-frappe-0_git20250312-r0.apk2025-03-26 11:51 535K 
[   ]simp1e-cursors-catppuccin-frappe-left-0_git20250312-r0.apk2025-03-26 11:51 547K 
[   ]simp1e-cursors-catppuccin-latte-0_git20250312-r0.apk2025-03-26 11:51 528K 
[   ]simp1e-cursors-catppuccin-latte-left-0_git20250312-r0.apk2025-03-26 11:51 537K 
[   ]simp1e-cursors-catppuccin-macchiato-0_git20250312-r0.apk2025-03-26 11:51 533K 
[   ]simp1e-cursors-catppuccin-macchiato-left-0_git20250312-r0.apk2025-03-26 11:51 544K 
[   ]simp1e-cursors-catppuccin-mocha-0_git20250312-r0.apk2025-03-26 11:51 530K 
[   ]simp1e-cursors-catppuccin-mocha-left-0_git20250312-r0.apk2025-03-26 11:51 540K 
[   ]simp1e-cursors-dark-0_git20250312-r0.apk2025-03-26 11:51 484K 
[   ]simp1e-cursors-dark-left-0_git20250312-r0.apk2025-03-26 11:51 490K 
[   ]simp1e-cursors-doc-0_git20250312-r0.apk2025-03-26 11:51 14K 
[   ]simp1e-cursors-gruvbox-dark-0_git20250312-r0.apk2025-03-26 11:51 525K 
[   ]simp1e-cursors-gruvbox-dark-left-0_git20250312-r0.apk2025-03-26 11:51 537K 
[   ]simp1e-cursors-gruvbox-light-0_git20250312-r0.apk2025-03-26 11:51 517K 
[   ]simp1e-cursors-gruvbox-light-left-0_git20250312-r0.apk2025-03-26 11:51 527K 
[   ]simp1e-cursors-left-0_git20250312-r0.apk2025-03-26 11:51 449K 
[   ]simp1e-cursors-mix-dark-0_git20250312-r0.apk2025-03-26 11:51 487K 
[   ]simp1e-cursors-mix-dark-left-0_git20250312-r0.apk2025-03-26 11:51 492K 
[   ]simp1e-cursors-mix-light-0_git20250312-r0.apk2025-03-26 11:51 450K 
[   ]simp1e-cursors-mix-light-left-0_git20250312-r0.apk2025-03-26 11:51 451K 
[   ]simp1e-cursors-nord-dark-0_git20250312-r0.apk2025-03-26 11:51 536K 
[   ]simp1e-cursors-nord-dark-left-0_git20250312-r0.apk2025-03-26 11:51 549K 
[   ]simp1e-cursors-nord-light-0_git20250312-r0.apk2025-03-26 11:51 518K 
[   ]simp1e-cursors-nord-light-left-0_git20250312-r0.apk2025-03-26 11:51 525K 
[   ]simp1e-cursors-rose-pine-0_git20250312-r0.apk2025-03-26 11:51 533K 
[   ]simp1e-cursors-rose-pine-dawn-0_git20250312-r0.apk2025-03-26 11:51 538K 
[   ]simp1e-cursors-rose-pine-dawn-left-0_git20250312-r0.apk2025-03-26 11:51 551K 
[   ]simp1e-cursors-rose-pine-left-0_git20250312-r0.apk2025-03-26 11:51 545K 
[   ]simp1e-cursors-rose-pine-moon-0_git20250312-r0.apk2025-03-26 11:51 538K 
[   ]simp1e-cursors-rose-pine-moon-left-0_git20250312-r0.apk2025-03-26 11:51 550K 
[   ]simp1e-cursors-solarized-dark-0_git20250312-r0.apk2025-03-26 11:51 524K 
[   ]simp1e-cursors-solarized-dark-left-0_git20250312-r0.apk2025-03-26 11:51 535K 
[   ]simp1e-cursors-solarized-light-0_git20250312-r0.apk2025-03-26 11:51 531K 
[   ]simp1e-cursors-solarized-light-left-0_git20250312-r0.apk2025-03-26 11:51 542K 
[   ]simp1e-cursors-tokyo-night-0_git20250312-r0.apk2025-03-26 11:51 530K 
[   ]simp1e-cursors-tokyo-night-left-0_git20250312-r0.apk2025-03-26 11:51 541K 
[   ]simp1e-cursors-tokyo-night-light-0_git20250312-r0.apk2025-03-26 11:51 520K 
[   ]simp1e-cursors-tokyo-night-light-left-0_git20250312-r0.apk2025-03-26 11:51 527K 
[   ]simp1e-cursors-tokyo-night-storm-0_git20250312-r0.apk2025-03-26 11:51 534K 
[   ]simp1e-cursors-tokyo-night-storm-left-0_git20250312-r0.apk2025-03-26 11:51 546K 
[   ]simp1e-cursors-zenburn-0_git20250312-r0.apk2025-03-26 11:51 529K 
[   ]simp1e-cursors-zenburn-left-0_git20250312-r0.apk2025-03-26 11:51 540K 
[   ]simpleble-0.10.3-r0.apk2025-07-12 06:30 1.2K 
[   ]simpleble-dev-0.10.3-r0.apk2025-07-12 06:30 28K 
[   ]sing-box-1.11.15-r0.apk2025-07-12 06:30 11M 
[   ]sing-box-bash-completion-1.11.15-r0.apk2025-07-12 06:30 5.1K 
[   ]sing-box-fish-completion-1.11.15-r0.apk2025-07-12 06:30 4.4K 
[   ]sing-box-openrc-1.11.15-r0.apk2025-07-12 06:30 2.1K 
[   ]sing-box-zsh-completion-1.11.15-r0.apk2025-07-12 06:30 4.1K 
[   ]sing-geoip-20250612-r0.apk2025-06-16 15:47 2.3M 
[   ]sing-geosite-20250627153051-r0.apk2025-07-12 06:30 1.1M 
[   ]singular-4.4.1_p2-r0.apk2025-07-03 00:06 11M 
[   ]singular-dev-4.4.1_p2-r0.apk2025-07-03 00:06 359K 
[   ]singular-doc-4.4.1_p2-r0.apk2025-07-03 00:06 1.3M 
[   ]singular-emacs-4.4.1_p2-r0.apk2025-07-03 00:06 102K 
[   ]singular-static-4.4.1_p2-r0.apk2025-07-03 00:06 6.3M 
[   ]sipexer-1.2.0-r4.apk2025-07-12 06:30 2.6M 
[   ]sipgrep-2.2.0-r1.apk2024-10-25 19:10 26K 
[   ]siril-1.2.6-r3.apk2025-07-07 07:32 2.7M 
[   ]siril-doc-1.2.6-r3.apk2025-07-07 07:32 18K 
[   ]siril-lang-1.2.6-r3.apk2025-07-07 07:32 1.6M 
[   ]sish-2.16.1-r6.apk2025-07-12 06:31 7.7M 
[   ]sish-openrc-2.16.1-r6.apk2025-07-12 06:31 1.9K 
[   ]slidge-0.1.3-r0.apk2024-10-25 19:10 145K 
[   ]slidge-doc-0.1.3-r0.apk2024-10-25 19:10 4.5K 
[   ]slidge-matridge-0.1.0-r0.apk2024-10-25 19:10 30K 
[   ]slidge-matridge-openrc-0.1.0-r0.apk2024-10-25 19:10 1.5K 
[   ]slidge-matridge-pyc-0.1.0-r0.apk2024-10-25 19:10 38K 
[   ]slidge-openrc-0.1.3-r0.apk2024-10-25 19:10 2.3K 
[   ]slidge-pyc-0.1.3-r0.apk2024-10-25 19:10 285K 
[   ]sloccount-2.26-r3.apk2024-10-25 19:10 59K 
[   ]sloccount-doc-2.26-r3.apk2024-10-25 19:10 59K 
[   ]slurm-0.4.4-r0.apk2024-10-25 19:10 14K 
[   ]slurm-doc-0.4.4-r0.apk2024-10-25 19:10 2.3K 
[   ]sly-1.0.0-r1.apk2025-07-13 21:22 3.9M 
[   ]smassh-3.1.6-r0.apk2024-11-21 02:56 72K 
[   ]smassh-pyc-3.1.6-r0.apk2024-11-21 02:56 70K 
[   ]smile-2.10.1-r0.apk2025-04-08 22:15 721K 
[   ]smile-lang-2.10.1-r0.apk2025-04-08 22:15 25K 
[   ]smplxmpp-0.9.3-r4.apk2024-12-18 12:16 147K 
[   ]smplxmpp-doc-0.9.3-r4.apk2024-12-18 12:16 25K 
[   ]snapper-0.12.2-r0.apk2025-05-06 12:26 1.0M 
[   ]snapper-bash-completion-0.12.2-r0.apk2025-05-06 12:26 3.1K 
[   ]snapper-dev-0.12.2-r0.apk2025-05-06 12:26 10K 
[   ]snapper-doc-0.12.2-r0.apk2025-05-06 12:26 25K 
[   ]snapper-lang-0.12.2-r0.apk2025-05-06 12:26 212K 
[   ]snapper-zsh-completion-0.12.2-r0.apk2025-05-06 12:26 3.6K 
[   ]snapraid-12.4-r0.apk2025-05-09 17:29 250K 
[   ]snapraid-doc-12.4-r0.apk2025-05-09 17:29 17K 
[   ]snapweb-0.9.0-r0.apk2025-06-28 06:50 474K 
[   ]sndfile-tools-1.5-r1.apk2024-10-25 19:10 45K 
[   ]sndfile-tools-doc-1.5-r1.apk2024-10-25 19:10 361K 
[   ]snikket-sdk-0_git20250612-r0.apk2025-06-13 15:23 2.9M 
[   ]snippets-ls-0.0.4_git20240617-r6.apk2025-07-12 06:31 1.3M 
[   ]snore-0.3.1-r0.apk2024-10-25 19:10 4.7K 
[   ]snore-doc-0.3.1-r0.apk2024-10-25 19:10 3.1K 
[   ]so-0.4.10-r0.apk2024-10-25 19:10 1.9M 
[   ]soapy-bladerf-0.4.2-r0.apk2024-12-31 01:11 48K 
[   ]soapy-hackrf-0.3.4-r2.apk2024-10-25 19:10 29K 
[   ]soapy-sdr-remote-0.5.2-r1.apk2024-10-25 19:10 194K 
[   ]soapy-sdr-remote-doc-0.5.2-r1.apk2024-10-25 19:10 2.4K 
[   ]soapy-sdr-remote-openrc-0.5.2-r1.apk2024-10-25 19:10 1.7K 
[   ]solanum-6.0.0-r0.apk2025-04-10 13:04 240K 
[   ]solanum-lang-6.0.0-r0.apk2025-04-10 13:04 47K 
[   ]solarus-engine-1.7.0-r2.apk2025-07-23 04:04 2.0M 
[   ]solarus-engine-doc-1.7.0-r2.apk2025-07-23 04:04 3.3K 
[   ]somebar-1.0.3-r0.apk2024-10-25 19:10 43K 
[   ]somebar-doc-1.0.3-r0.apk2024-10-25 19:10 2.4K 
[   ]sonar-scanner-7.1.0.4889-r0.apk2025-05-05 01:09 11M 
[   ]sonarr-4.0.15.2941-r0.apk2025-06-21 14:17 27M 
[   ]sonarr-openrc-4.0.15.2941-r0.apk2025-06-21 14:17 2.0K 
[   ]sonicradio-0.7.1-r0.apk2025-07-23 04:04 2.9M 
[   ]sopwith-2.5.0-r0.apk2024-10-25 19:10 51K 
[   ]sopwith-doc-2.5.0-r0.apk2024-10-25 19:10 15K 
[   ]sos-0.8-r32.apk2025-07-12 06:31 2.6M 
[   ]soundconverter-4.1.0-r0.apk2025-06-24 16:41 165K 
[   ]soundconverter-doc-4.1.0-r0.apk2025-06-24 16:41 4.7K 
[   ]soundconverter-lang-4.1.0-r0.apk2025-06-24 16:41 107K 
[   ]soundconverter-pyc-4.1.0-r0.apk2025-06-24 16:41 77K 
[   ]sourcegit-8.33-r0.apk2024-10-25 19:10 23M 
[   ]spacectl-1.12.0-r2.apk2025-07-12 06:31 5.4M 
[   ]spacectl-bash-completion-1.12.0-r2.apk2025-07-12 06:31 2.0K 
[   ]spacectl-doc-1.12.0-r2.apk2025-07-12 06:31 2.3K 
[   ]spacectl-fish-completion-1.12.0-r2.apk2025-07-12 06:31 7.1K 
[   ]spacectl-zsh-completion-1.12.0-r2.apk2025-07-12 06:31 1.8K 
[   ]spacenavd-1.2-r0.apk2024-10-25 19:10 32K 
[   ]spacer-0.3.9-r0.apk2025-05-26 13:06 877K 
[   ]spacer-doc-0.3.9-r0.apk2025-05-26 13:06 3.1K 
[   ]spampd-2.62-r0.apk2025-02-25 22:31 39K 
[   ]spampd-openrc-2.62-r0.apk2025-02-25 22:31 2.0K 
[   ]spark-2.8.3-r1.apk2024-10-25 19:10 29M 
[   ]speedcrunch-0.12-r3.apk2024-10-25 19:10 1.1M 
[   ]speedtest-5.2.5-r1.apk2024-10-25 19:10 253K 
[   ]speedtest-doc-5.2.5-r1.apk2024-10-25 19:10 18K 
[   ]speedtest-examples-5.2.5-r1.apk2024-10-25 19:10 13K 
[   ]speedtest-go-1.1.5-r16.apk2025-07-12 06:31 5.2M 
[   ]speedtest-go-doc-1.1.5-r16.apk2025-07-12 06:31 4.5K 
[   ]speedtest-go-openrc-1.1.5-r16.apk2025-07-12 06:31 1.7K 
[   ]speedtest_exporter-0.3.2-r16.apk2025-07-12 06:31 3.7M 
[   ]speedtest_exporter-openrc-0.3.2-r16.apk2025-07-12 06:31 1.9K 
[   ]spice-html5-0.3.0-r1.apk2024-10-25 19:10 438K 
[   ]spike-1.1.0-r0.apk2024-10-25 19:10 1.4M 
[   ]spiped-1.6.2-r1.apk2024-10-25 19:10 73K 
[   ]spiritvnc-0.6.5-r0.apk2024-11-03 05:09 48K 
[   ]splitter-0.4.1-r1.apk2025-07-15 04:09 584K 
[   ]splitter-doc-0.4.1-r1.apk2025-07-15 04:09 3.8K 
[   ]spnavcfg-1.1-r0.apk2024-10-25 19:10 36K 
[   ]sponskrub-3.7.2-r9.apk2025-06-07 08:57 177K 
[   ]spotify-player-0.20.4-r0.apk2025-03-02 15:57 4.1M 
[   ]spotify-tui-0.25.0-r2.apk2024-10-25 19:10 1.8M 
[   ]spread-sheet-widget-0.10-r0.apk2024-10-25 19:10 46K 
[   ]spread-sheet-widget-dbg-0.10-r0.apk2024-10-25 19:10 194K 
[   ]spread-sheet-widget-dev-0.10-r0.apk2024-10-25 19:10 365K 
[   ]spread-sheet-widget-doc-0.10-r0.apk2024-10-25 19:10 4.6K 
[   ]spreadtrum_flash-1.20240815-r0.apk2025-05-08 12:22 37K 
[   ]spvm-errno-0.093-r1.apk2025-06-30 07:25 17K 
[   ]spvm-errno-doc-0.093-r1.apk2025-06-30 07:25 6.0K 
[   ]spvm-math-1.006-r1.apk2025-06-30 07:25 21K 
[   ]spvm-math-doc-1.006-r1.apk2025-06-30 07:25 6.9K 
[   ]spvm-mime-base64-1.003-r1.apk2025-06-30 07:25 17K 
[   ]spvm-mime-base64-doc-1.003-r1.apk2025-06-30 07:25 5.5K 
[   ]spvm-thread-0.003-r1.apk2025-06-30 07:25 15K 
[   ]spvm-thread-doc-0.003-r1.apk2025-06-30 07:25 6.0K 
[   ]sq-0.48.5-r6.apk2025-07-12 06:31 9.8M 
[   ]sq-bash-completion-0.48.5-r6.apk2025-07-12 06:31 5.0K 
[   ]sq-doc-0.48.5-r6.apk2025-07-12 06:31 5.9K 
[   ]sq-fish-completion-0.48.5-r6.apk2025-07-12 06:31 4.3K 
[   ]sq-zsh-completion-0.48.5-r6.apk2025-07-12 06:31 4.0K 
[   ]sqlar-0_git20180107-r1.apk2024-10-25 19:10 14K 
[   ]sqlar-doc-0_git20180107-r1.apk2024-10-25 19:10 3.3K 
[   ]sqliteodbc-0.99991-r0.apk2024-10-25 19:10 93K 
[   ]sqlmap-1.9.7-r0.apk2025-07-12 15:27 6.8M 
[   ]sqlmap-pyc-1.9.7-r0.apk2025-07-12 15:27 1.2M 
[   ]sqm-scripts-1.6.0-r0.apk2024-10-25 19:10 20K 
[   ]sqruff-0.25.26-r0.apk2025-04-13 20:42 2.0M 
[   ]sqruff-doc-0.25.26-r0.apk2025-04-13 20:42 8.6K 
[   ]srain-1.8.1-r0.apk2025-06-26 19:59 165K 
[   ]srain-lang-1.8.1-r0.apk2025-06-26 19:59 35K 
[   ]srb2-2.2.15-r1.apk2025-04-10 20:50 1.8M 
[   ]srb2-data-2.2.15-r1.apk2025-04-10 20:50 160M 
[   ]sregex-0.0.1-r1.apk2024-10-25 19:10 21K 
[   ]sregex-dev-0.0.1-r1.apk2024-10-25 19:10 25K 
[   ]ssdfs-tools-4.09-r0.apk2024-10-25 19:10 96K 
[   ]ssdfs-tools-dev-4.09-r0.apk2024-10-25 19:10 18K 
[   ]ssh-cert-authority-2.0.0-r27.apk2025-07-12 06:31 4.7M 
[   ]ssh-honeypot-0.1.1-r1.apk2024-10-25 19:10 9.1K 
[   ]ssh-honeypot-openrc-0.1.1-r1.apk2024-10-25 19:10 2.0K 
[   ]ssh-tools-1.8-r0.apk2024-10-25 19:10 26K 
[   ]sshs-4.7.2-r0.apk2025-05-03 20:03 670K 
[   ]sshsrv-1.0-r13.apk2025-07-12 06:31 961K 
[   ]sshuttle-1.1.2-r0.apk2024-10-25 19:10 62K 
[   ]sshuttle-doc-1.1.2-r0.apk2024-10-25 19:10 8.5K 
[   ]sshuttle-pyc-1.1.2-r0.apk2024-10-25 19:10 101K 
[   ]sssd-2.11.0-r0.apk2025-06-06 08:58 2.2M 
[   ]sssd-dev-2.11.0-r0.apk2025-06-06 08:58 15K 
[   ]sssd-openrc-2.11.0-r0.apk2025-06-06 08:58 1.7K 
[   ]ssss-0.5.7-r0.apk2024-10-25 19:10 12K 
[   ]ssss-doc-0.5.7-r0.apk2024-10-25 19:10 3.3K 
[   ]sstp-client-1.0.20-r2.apk2025-05-30 10:23 41K 
[   ]sstp-client-dev-1.0.20-r2.apk2025-05-30 10:23 5.4K 
[   ]sstp-client-doc-1.0.20-r2.apk2025-05-30 10:23 4.9K 
[   ]stacker-1.0.0-r6.apk2025-07-12 06:31 23M 
[   ]stacker-doc-1.0.0-r6.apk2025-07-12 06:31 15K 
[   ]stalwart-cli-0.13.1-r0.apk2025-07-23 04:04 2.4M 
[   ]stalwart-mail-0.13.1-r0.apk2025-07-23 04:04 16M 
[   ]stalwart-mail-openrc-0.13.1-r0.apk2025-07-23 04:04 2.1K 
[   ]starfighter-2.4-r0.apk2024-10-25 19:10 48M 
[   ]starfighter-doc-2.4-r0.apk2024-10-25 19:10 22K 
[   ]startup-2.0.3-r5.apk2024-10-25 19:10 401K 
[   ]startup-bridge-dconf-2.0.3-r5.apk2024-10-25 19:10 30K 
[   ]startup-bridge-udev-2.0.3-r5.apk2024-10-25 19:10 30K 
[   ]startup-dev-2.0.3-r5.apk2024-10-25 19:10 5.8K 
[   ]startup-doc-2.0.3-r5.apk2024-10-25 19:10 48K 
[   ]startup-fish-completion-2.0.3-r5.apk2024-10-25 19:10 5.4K 
[   ]startup-lang-2.0.3-r5.apk2024-10-25 19:10 17K 
[   ]startup-tools-2.0.3-r5.apk2024-10-25 19:10 13K 
[   ]stayrtr-0.6.2-r5.apk2025-07-12 06:31 10M 
[   ]stayrtr-openrc-0.6.2-r5.apk2025-07-12 06:31 2.0K 
[   ]steamguard-cli-0.17.1-r0.apk2025-07-12 06:31 3.0M 
[   ]steamguard-cli-bash-completion-0.17.1-r0.apk2025-07-12 06:31 2.9K 
[   ]steamguard-cli-zsh-completion-0.17.1-r0.apk2025-07-12 06:31 4.2K 
[   ]steghide-0.5.1.1-r0.apk2024-10-25 19:10 138K 
[   ]steghide-doc-0.5.1.1-r0.apk2024-10-25 19:10 14K 
[   ]stern-1.32.0-r5.apk2025-07-12 06:31 17M 
[   ]stern-bash-completion-1.32.0-r5.apk2025-07-12 06:31 5.8K 
[   ]stern-fish-completion-1.32.0-r5.apk2025-07-12 06:31 4.3K 
[   ]stern-zsh-completion-1.32.0-r5.apk2025-07-12 06:31 4.0K 
[   ]stgit-2.4.7-r1.apk2024-10-25 19:10 1.8M 
[   ]stgit-bash-completion-2.4.7-r1.apk2024-10-25 19:10 17K 
[   ]stgit-doc-2.4.7-r1.apk2024-10-25 19:10 126K 
[   ]stgit-emacs-2.4.7-r1.apk2024-10-25 19:10 28K 
[   ]stgit-fish-completion-2.4.7-r1.apk2024-10-25 19:10 12K 
[   ]stgit-vim-2.4.7-r1.apk2024-10-25 19:10 3.5K 
[   ]stgit-zsh-completion-2.4.7-r1.apk2024-10-25 19:10 24K 
[   ]sthttpd-2.27.1-r2.apk2024-10-25 19:10 62K 
[   ]sthttpd-doc-2.27.1-r2.apk2024-10-25 19:10 18K 
[   ]sthttpd-openrc-2.27.1-r2.apk2024-10-25 19:10 1.9K 
[   ]stockfish-17-r0.apk2025-03-03 22:40 62M 
[   ]stone-soup-0.32.1-r0.apk2024-10-25 19:10 32M 
[   ]sturmreader-3.7.2-r1.apk2025-01-29 19:49 1.0M 
[   ]sturmreader-lang-3.7.2-r1.apk2025-01-29 19:49 39K 
[   ]stw-0.3-r0.apk2024-10-25 19:10 7.9K 
[   ]stw-doc-0.3-r0.apk2024-10-25 19:10 2.5K 
[   ]subdl-0_git20230616-r1.apk2024-10-25 19:10 8.7K 
[   ]subdl-pyc-0_git20230616-r1.apk2024-10-25 19:10 14K 
[   ]sublime-music-0.12.0-r1.apk2024-10-25 19:10 190K 
[   ]sublime-music-pyc-0.12.0-r1.apk2024-10-25 19:10 302K 
[   ]subliminal-2.2.1-r1.apk2025-05-14 18:17 68K 
[   ]subliminal-pyc-2.2.1-r1.apk2025-05-14 18:17 135K 
[   ]sudo-ldap-1.9.17_p1-r0.apk2025-07-01 18:57 759K 
[   ]supercollider-3.13.0-r6.apk2025-02-08 23:46 7.6M 
[   ]supercollider-dev-3.13.0-r6.apk2025-02-08 23:46 39K 
[   ]supermin-5.2.2-r2.apk2024-10-25 19:10 654K 
[   ]supermin-doc-5.2.2-r2.apk2024-10-25 19:10 9.4K 
[   ]supersonik-0.1.0-r2.apk2025-04-13 20:28 1.0M 
[   ]surf-2.1-r3.apk2024-10-25 19:10 23K 
[   ]surf-doc-2.1-r3.apk2024-10-25 19:10 4.6K 
[   ]surfraw-2.3.0-r0.apk2024-10-25 19:10 79K 
[   ]surfraw-doc-2.3.0-r0.apk2024-10-25 19:10 18K 
[   ]suru-icon-theme-2025.05.0-r0.apk2025-05-09 17:27 2.9M 
[   ]svgbob-0.7.6-r0.apk2025-02-01 21:08 417K 
[   ]svls-0.2.12-r0.apk2024-10-25 19:10 3.3M 
[   ]svls-doc-0.2.12-r0.apk2024-10-25 19:10 2.2K 
[   ]swaks-20240103.0-r0.apk2024-10-25 19:10 66K 
[   ]swaks-doc-20240103.0-r0.apk2024-10-25 19:10 50K 
[   ]swappy-1.5.1-r0.apk2024-10-25 19:10 29K 
[   ]swappy-doc-1.5.1-r0.apk2024-10-25 19:10 3.7K 
[   ]swappy-lang-1.5.1-r0.apk2024-10-25 19:10 3.6K 
[   ]sway-audio-idle-inhibit-0.1.2-r0.apk2024-10-25 19:10 10K 
[   ]swayhide-0.2.1-r2.apk2024-10-25 19:10 245K 
[   ]swhkd-1.2.1-r0.apk2024-10-25 19:10 1.0M 
[   ]swhkd-doc-1.2.1-r0.apk2024-10-25 19:10 6.2K 
[   ]swi-prolog-9.2.9-r0.apk2024-12-21 10:08 4.8M 
[   ]swi-prolog-doc-9.2.9-r0.apk2024-12-21 10:08 2.1M 
[   ]swi-prolog-pyc-9.2.9-r0.apk2024-12-21 10:08 22K 
[   ]swi-prolog-xpce-9.2.9-r0.apk2024-12-21 10:08 922K 
[   ]swi-prolog-xpce-doc-9.2.9-r0.apk2024-12-21 10:08 1.0M 
[   ]sxcs-1.1.0-r0.apk2024-10-25 19:10 8.7K 
[   ]sxcs-doc-1.1.0-r0.apk2024-10-25 19:10 2.6K 
[   ]sydbox-3.21.3-r0.apk2024-10-25 19:10 1.3M 
[   ]sydbox-doc-3.21.3-r0.apk2024-10-25 19:10 84K 
[   ]sydbox-oci-3.21.3-r0.apk2024-10-25 19:10 1.8M 
[   ]sydbox-utils-3.21.3-r0.apk2024-10-25 19:10 5.9M 
[   ]sydbox-vim-3.21.3-r0.apk2024-10-25 19:10 5.2K 
[   ]sylpheed-imap-notify-1.1.0-r2.apk2024-10-25 19:10 8.6K 
[   ]symbiyosys-0.36-r0.apk2024-10-25 19:10 38K 
[   ]symengine-0.12.0-r0.apk2024-10-25 19:10 3.1M 
[   ]symlinks-1.4.3-r0.apk2025-05-03 20:03 6.5K 
[   ]symlinks-doc-1.4.3-r0.apk2025-05-03 20:03 3.9K 
[   ]sympow-2.023.7-r2.apk2024-10-25 19:10 1.8M 
[   ]sympow-doc-2.023.7-r2.apk2024-10-25 19:10 3.1K 
[   ]syncthing-gtk-0.9.4.5-r2.apk2024-10-25 19:10 440K 
[   ]syncthing-gtk-doc-0.9.4.5-r2.apk2024-10-25 19:10 2.2K 
[   ]syncthing-gtk-pyc-0.9.4.5-r2.apk2024-10-25 19:10 221K 
[   ]t2sz-1.1.2-r0.apk2024-10-25 19:10 9.0K 
[   ]tabby-3.1-r1.apk2024-10-25 19:10 35K 
[   ]tabby-doc-3.1-r1.apk2024-10-25 19:10 2.3K 
[   ]tabiew-0.7.1-r0.apk2024-11-25 21:50 6.8M 
[   ]tachyon-0.99_beta6-r1.apk2024-10-25 19:10 99K 
[   ]tachyon-scenes-0.99_beta6-r1.apk2024-10-25 19:10 1.9M 
[   ]tailspin-5.4.2-r0.apk2025-05-14 10:00 1.1M 
[   ]tailspin-bash-completion-5.4.2-r0.apk2025-05-14 10:00 2.2K 
[   ]tailspin-doc-5.4.2-r0.apk2025-05-14 10:00 3.0K 
[   ]tailspin-fish-completion-5.4.2-r0.apk2025-05-14 10:00 2.1K 
[   ]tailspin-zsh-completion-5.4.2-r0.apk2025-05-14 10:00 2.5K 
[   ]tang-15-r0.apk2025-01-26 06:27 16K 
[   ]tang-dbg-15-r0.apk2025-01-26 06:27 32K 
[   ]tang-doc-15-r0.apk2025-01-26 06:27 21K 
[   ]tang-openrc-15-r0.apk2025-01-26 06:27 1.9K 
[   ]tangara-companion-0.4.3-r0.apk2024-12-17 20:28 1.0M 
[   ]tangctl-0_git20241007-r5.apk2025-07-12 06:31 2.5M 
[   ]tanidvr-1.4.1-r2.apk2025-03-26 11:51 25K 
[   ]tanidvr-dhav2mkv-1.4.1-r2.apk2025-03-26 11:51 14K 
[   ]tanka-0.32.0-r1.apk2025-07-12 06:31 4.3M 
[   ]tartube-2.5.0-r1.apk2024-10-25 19:10 2.7M 
[   ]tartube-pyc-2.5.0-r1.apk2024-10-25 19:10 1.1M 
[   ]taskcafe-0.3.6-r14.apk2025-07-12 06:31 13M 
[   ]taskcafe-openrc-0.3.6-r14.apk2025-07-12 06:31 1.8K 
[   ]taskwarrior-tui-0.26.3-r0.apk2025-03-26 11:51 1.1M 
[   ]taskwarrior-tui-bash-completion-0.26.3-r0.apk2025-03-26 11:51 2.0K 
[   ]taskwarrior-tui-doc-0.26.3-r0.apk2025-03-26 11:51 3.9K 
[   ]taskwarrior-tui-fish-completion-0.26.3-r0.apk2025-03-26 11:51 1.7K 
[   ]tauri-cli-2.4.0-r0.apk2025-04-02 17:03 6.9M 
[   ]tayga-0.9.5-r0.apk2025-06-20 07:58 27K 
[   ]tayga-doc-0.9.5-r0.apk2025-06-20 07:58 6.1K 
[   ]tcl-curl-7.22.0-r0.apk2024-10-25 19:10 31K 
[   ]tcl-curl-doc-7.22.0-r0.apk2024-10-25 19:10 38K 
[   ]tcl9-9.0.2-r0.apk2025-07-03 08:13 1.8M 
[   ]tcl9-dev-9.0.2-r0.apk2025-07-03 08:13 185K 
[   ]tcl9-doc-9.0.2-r0.apk2025-07-03 08:13 1.4M 
[   ]tcmalloc-2.16-r1.apk2025-04-06 12:56 110K 
[   ]tcmalloc-debug-2.16-r1.apk2025-04-06 12:56 117K 
[   ]tcmalloc-minimal-2.16-r1.apk2025-04-06 12:56 60K 
[   ]tcmalloc-minimal-debug-2.16-r1.apk2025-04-06 12:56 68K 
[   ]tcmalloc-profiler-2.16-r1.apk2025-04-06 12:56 118K 
[   ]tcmu-runner-1.6.0-r6.apk2024-10-25 19:10 89K 
[   ]tcmu-runner-doc-1.6.0-r6.apk2024-10-25 19:10 2.5K 
[   ]tcmu-runner-rbd-1.6.0-r6.apk2024-10-25 19:10 13K 
[   ]tdrop-0.5.0-r0.apk2024-10-25 19:10 12K 
[   ]tdrop-doc-0.5.0-r0.apk2024-10-25 19:10 8.9K 
[   ]tealdeer-1.7.2-r0.apk2025-03-26 11:51 831K 
[   ]tealdeer-bash-completion-1.7.2-r0.apk2025-03-26 11:51 2.0K 
[   ]tealdeer-fish-completion-1.7.2-r0.apk2025-03-26 11:51 2.2K 
[   ]tealdeer-zsh-completion-1.7.2-r0.apk2025-03-26 11:51 2.3K 
[   ]teapot-tools-0.4.2-r2.apk2024-10-25 19:10 2.0M 
[   ]telegram-bot-api-9.0-r0.apk2025-04-14 03:44 6.7M 
[   ]telegram-tdlib-1.8.47-r0.apk2025-04-14 03:44 6.8M 
[   ]telegram-tdlib-dev-1.8.47-r0.apk2025-04-14 03:44 182K 
[   ]telegram-tdlib-static-1.8.47-r0.apk2025-04-14 03:44 21M 
[   ]templ-0.3.920-r0.apk2025-07-24 10:50 5.0M 
[   ]tempo-2.8.1-r1.apk2025-07-12 06:31 28M 
[   ]tempo-cli-2.8.1-r1.apk2025-07-12 06:31 20M 
[   ]tempo-openrc-2.8.1-r1.apk2025-07-12 06:31 1.9K 
[   ]tempo-query-2.8.1-r1.apk2025-07-12 06:31 6.3M 
[   ]tempo-vulture-2.8.1-r1.apk2025-07-12 06:31 13M 
[   ]tempo-vulture-openrc-2.8.1-r1.apk2025-07-12 06:31 2.0K 
[   ]tenv-4.7.6-r1.apk2025-07-12 06:31 9.1M 
[   ]tenv-bash-completion-4.7.6-r1.apk2025-07-12 06:31 6.1K 
[   ]tenv-fish-completion-4.7.6-r1.apk2025-07-12 06:31 4.3K 
[   ]tenv-zsh-completion-4.7.6-r1.apk2025-07-12 06:31 4.0K 
[   ]tere-1.6.0-r0.apk2024-10-25 19:10 971K 
[   ]tere-doc-1.6.0-r0.apk2024-10-25 19:10 14K 
[   ]termbox-1.1.2-r1.apk2024-10-25 19:10 13K 
[   ]termbox-dev-1.1.2-r1.apk2024-10-25 19:10 5.7K 
[   ]termbox-static-1.1.2-r1.apk2024-10-25 19:10 13K 
[   ]termcolor-2.1.0-r0.apk2024-10-25 19:10 1.5K 
[   ]termcolor-dev-2.1.0-r0.apk2024-10-25 19:10 6.8K 
[   ]terminalpp-0.8.4-r0.apk2024-10-25 19:10 385K 
[   ]terminalpp-ropen-0.8.4-r0.apk2024-10-25 19:10 55K 
[   ]terminology-1.14.0-r0.apk2025-05-27 22:49 2.7M 
[   ]terminology-doc-1.14.0-r0.apk2025-05-27 22:49 9.0K 
[   ]terminology-lang-1.14.0-r0.apk2025-05-27 22:49 143K 
[   ]termusic-mpv-0.7.11-r0.apk2024-10-25 19:10 6.0M 
[   ]tetragon-client-1.1.2-r6.apk2025-07-12 06:31 19M 
[   ]tetragon-client-bash-completion-1.1.2-r6.apk2025-07-12 06:31 5.1K 
[   ]tetragon-client-fish-completion-1.1.2-r6.apk2025-07-12 06:31 4.3K 
[   ]tetragon-client-zsh-completion-1.1.2-r6.apk2025-07-12 06:31 4.0K 
[   ]texlab-5.19.0-r0.apk2024-10-25 19:10 8.5M 
[   ]texmaker-6.0.1-r0.apk2025-06-27 07:41 12M 
[   ]texstudio-4.8.8-r0.apk2025-07-12 06:31 82M 
[   ]tfupdate-0.8.2-r7.apk2025-07-12 06:31 4.6M 
[   ]tfupdate-doc-0.8.2-r7.apk2025-07-12 06:31 2.3K 
[   ]thanos-0.31.0-r14.apk2025-07-12 06:31 20M 
[   ]thanos-openrc-0.31.0-r14.apk2025-07-12 06:31 1.9K 
[   ]theforceengine-1.09.540-r1.apk2024-10-25 19:11 6.8M 
[   ]theforceengine-doc-1.09.540-r1.apk2024-10-25 19:11 6.3M 
[   ]thefuck-3.32-r5.apk2024-10-25 19:11 83K 
[   ]thefuck-pyc-3.32-r5.apk2024-10-25 19:11 156K 
[   ]thelounge-4.4.3-r0.apk2024-10-25 19:11 28M 
[   ]thelounge-doc-4.4.3-r0.apk2024-10-25 19:11 2.3K 
[   ]thelounge-openrc-4.4.3-r0.apk2024-10-25 19:11 2.0K 
[   ]theme.sh-1.1.5-r0.apk2024-10-25 19:11 39K 
[   ]theme.sh-doc-1.1.5-r0.apk2024-10-25 19:11 2.3K 
[   ]throttled-0.10.0-r1.apk2024-12-15 19:25 15K 
[   ]throttled-openrc-0.10.0-r1.apk2024-12-15 19:25 1.6K 
[   ]throttled-pyc-0.10.0-r1.apk2024-12-15 19:25 28K 
[   ]thumbdrives-0.3.2-r2.apk2024-10-25 19:11 11K 
[   ]thunar-gtkhash-plugin-1.5-r0.apk2024-10-25 19:11 23K 
[   ]thunarx-python-0.5.2-r2.apk2024-10-25 19:11 9.9K 
[   ]thunarx-python-doc-0.5.2-r2.apk2024-10-25 19:11 25K 
[   ]tick-1.2.2-r0.apk2025-01-14 01:26 11K 
[   ]tick-doc-1.2.2-r0.apk2025-01-14 01:26 5.5K 
[   ]ticker-4.8.1-r3.apk2025-07-12 06:31 3.9M 
[   ]ticker-bash-completion-4.8.1-r3.apk2025-07-12 06:31 4.6K 
[   ]ticker-fish-completion-4.8.1-r3.apk2025-07-12 06:31 3.9K 
[   ]ticker-zsh-completion-4.8.1-r3.apk2025-07-12 06:31 3.7K 
[   ]timeshift-24.06.6-r0.apk2025-05-03 20:03 455K 
[   ]timeshift-doc-24.06.6-r0.apk2025-05-03 20:03 3.2K 
[   ]timeshift-lang-24.06.6-r0.apk2025-05-03 20:03 917K 
[   ]timew-1.4.3-r1.apk2024-10-25 19:11 249K 
[   ]timew-bash-completion-1.4.3-r1.apk2024-10-25 19:11 2.8K 
[   ]timew-doc-1.4.3-r1.apk2024-10-25 19:11 53K 
[   ]timewarrior-1.7.1-r0.apk2024-10-25 19:11 263K 
[   ]timewarrior-doc-1.7.1-r0.apk2024-10-25 19:11 22K 
[   ]timoni-0.23.0-r6.apk2025-07-12 06:31 22M 
[   ]timoni-bash-completion-0.23.0-r6.apk2025-07-12 06:31 8.0K 
[   ]timoni-doc-0.23.0-r6.apk2025-07-12 06:31 338K 
[   ]timoni-fish-completion-0.23.0-r6.apk2025-07-12 06:31 4.3K 
[   ]timoni-zsh-completion-0.23.0-r6.apk2025-07-12 06:31 4.0K 
[   ]tintin-2.02.31-r0.apk2024-10-25 19:11 1.8M 
[   ]tinyemu-2019.12.21-r0.apk2025-05-25 23:35 147K 
[   ]tinygltf-2.9.6-r0.apk2025-06-08 16:33 138K 
[   ]tinygltf-dev-2.9.6-r0.apk2025-06-08 16:33 57K 
[   ]tinymist-0.13.12-r0.apk2025-05-03 20:03 17M 
[   ]tinyscheme-1.42-r1.apk2024-10-25 19:11 58K 
[   ]tk9-9.0.2-r0.apk2025-07-03 08:13 826K 
[   ]tk9-dev-9.0.2-r0.apk2025-07-03 08:13 81K 
[   ]tk9-doc-9.0.2-r0.apk2025-07-03 08:13 1.3M 
[   ]tldr-python-client-3.3.0-r0.apk2024-12-01 17:09 12K 
[   ]tldr-python-client-doc-3.3.0-r0.apk2024-12-01 17:09 3.5K 
[   ]tldr-python-client-pyc-3.3.0-r0.apk2024-12-01 17:09 14K 
[   ]tmate-2.4.0-r4.apk2024-10-25 19:11 265K 
[   ]tmate-doc-2.4.0-r4.apk2024-10-25 19:11 72K 
[   ]tmpl-0.4.0-r12.apk2025-07-12 06:31 2.5M 
[   ]tmpl-doc-0.4.0-r12.apk2025-07-12 06:31 2.2K 
[   ]tmpmail-1.2.3-r2.apk2024-10-25 19:11 7.0K 
[   ]tmpmail-doc-1.2.3-r2.apk2024-10-25 19:11 3.2K 
[   ]tmux-resurrect-4.0.0-r0.apk2024-10-25 19:11 14K 
[   ]tmux-resurrect-doc-4.0.0-r0.apk2024-10-25 19:11 8.4K 
[   ]tncattach-0.1.9-r1.apk2024-10-25 19:11 22K 
[   ]tncattach-doc-0.1.9-r1.apk2024-10-25 19:11 3.9K 
[   ]tnef-1.4.18-r0.apk2024-10-25 19:11 25K 
[   ]tnef-doc-1.4.18-r0.apk2024-10-25 19:11 4.2K 
[   ]toapk-1.0-r0.apk2024-10-25 19:11 11K 
[   ]today-6.2.1-r0.apk2025-06-17 15:29 3.2K 
[   ]today-doc-6.2.1-r0.apk2025-06-17 15:29 3.3K 
[   ]tofutf-0.10.0-r6.apk2025-07-12 06:31 1.3K 
[   ]tofutf-agent-0.10.0-r6.apk2025-07-12 06:31 8.7M 
[   ]tofutf-agent-openrc-0.10.0-r6.apk2025-07-12 06:31 2.0K 
[   ]tofutf-cli-0.10.0-r6.apk2025-07-12 06:31 8.0M 
[   ]tofutf-server-0.10.0-r6.apk2025-07-12 06:31 13M 
[   ]tofutf-server-openrc-0.10.0-r6.apk2025-07-12 06:31 2.0K 
[   ]tomcat9-9.0.107-r0.apk2025-07-13 16:30 6.7M 
[   ]tomcat9-admin-9.0.107-r0.apk2025-07-13 16:30 119K 
[   ]tomcat9-doc-9.0.107-r0.apk2025-07-13 16:30 1.7M 
[   ]tomcat9-examples-9.0.107-r0.apk2025-07-13 16:30 439K 
[   ]tomcat9-openrc-9.0.107-r0.apk2025-07-13 16:30 4.6K 
[   ]toml2json-1.3.1-r0.apk2024-10-25 19:11 344K 
[   ]toml2json-doc-1.3.1-r0.apk2024-10-25 19:11 3.3K 
[   ]tonutils-reverse-proxy-0.4.6-r0.apk2025-07-23 04:04 4.1M 
[   ]tonutils-reverse-proxy-doc-0.4.6-r0.apk2025-07-23 04:04 3.2K 
[   ]topgit-0.19.13-r1.apk2024-10-25 19:11 127K 
[   ]topgit-bash-completion-0.19.13-r1.apk2024-10-25 19:11 4.0K 
[   ]topgit-doc-0.19.13-r1.apk2024-10-25 19:11 73K 
[   ]torrent-file-editor-0.3.18-r0.apk2024-10-25 19:11 366K 
[   ]toss-1.1-r1.apk2025-05-29 12:00 12K 
[   ]touchpad-emulator-0.3-r0.apk2025-05-26 09:33 13K 
[   ]toybox-0.8.12-r0.apk2025-07-23 04:04 288K 
[   ]tpm2-pkcs11-1.9.1-r0.apk2024-10-25 19:11 129K 
[   ]tpm2-pkcs11-dev-1.9.1-r0.apk2024-10-25 19:11 1.9K 
[   ]tpm2-pkcs11-pyc-1.9.1-r0.apk2024-10-25 19:11 69K 
[   ]tpp-bypass-0.8.4-r0.apk2024-10-25 19:11 13K 
[   ]tqm-1.13.0-r1.apk2025-07-12 06:31 4.3M 
[   ]trace-cmd-3.3.1-r1.apk2025-01-26 06:27 164K 
[   ]trace-cmd-bash-completion-3.3.1-r1.apk2025-01-26 06:27 3.4K 
[   ]trace-cmd-dbg-3.3.1-r1.apk2025-01-26 06:27 507K 
[   ]trace-cmd-doc-3.3.1-r1.apk2025-01-26 06:27 171K 
[   ]transito-0.9.1-r7.apk2025-07-12 06:31 8.0M 
[   ]transito-doc-0.9.1-r7.apk2025-07-12 06:31 755K 
[   ]transmission-remote-gtk-1.6.0-r0.apk2024-10-25 19:11 144K 
[   ]transmission-remote-gtk-doc-1.6.0-r0.apk2024-10-25 19:11 4.2K 
[   ]transmission-remote-gtk-lang-1.6.0-r0.apk2024-10-25 19:11 106K 
[   ]trantor-1.5.18-r0.apk2024-10-25 19:11 218K 
[   ]trantor-dev-1.5.18-r0.apk2024-10-25 19:11 34K 
[   ]trantor-doc-1.5.18-r0.apk2024-10-25 19:11 2.6K 
[   ]tre-0.8.0-r2.apk2024-10-25 19:11 26K 
[   ]tre-dev-0.8.0-r2.apk2024-10-25 19:11 5.2K 
[   ]tre-static-0.8.0-r2.apk2024-10-25 19:11 28K 
[   ]tree-sitter-caddy-0_git20230322-r0.apk2024-10-25 19:11 70K 
[   ]tree-sitter-caddy-doc-0_git20230322-r0.apk2024-10-25 19:11 2.3K 
[   ]tree-sitter-clojure-0.0.13-r0.apk2025-07-23 11:59 23K 
[   ]tree-sitter-dart-0_git20250228-r0.apk2025-03-11 03:55 99K 
[   ]tree-sitter-git-commit-0_git20211225-r4.apk2025-07-25 16:54 13K 
[   ]tree-sitter-git-diff-0_git20230730-r1.apk2025-07-25 16:54 9.9K 
[   ]tree-sitter-git-rebase-0_git20240722-r0.apk2025-03-11 03:55 5.5K 
[   ]tree-sitter-gleam-1.0.0-r0.apk2024-10-25 19:11 42K 
[   ]tree-sitter-hare-0_git20230616-r2.apk2025-07-23 04:04 33K 
[   ]tree-sitter-haskell-0.23.1-r0.apk2025-01-09 16:04 284K 
[   ]tree-sitter-hcl-1.2.0-r0.apk2025-06-19 00:45 23K 
[   ]tree-sitter-just-0_git20230318-r0.apk2024-10-25 19:11 15K 
[   ]tree-sitter-make-0_git20211216-r2.apk2024-10-25 19:11 42K 
[   ]tree-sitter-pascal-0.9.1-r0.apk2024-10-25 19:11 81K 
[   ]tree-sitter-pascal-doc-0.9.1-r0.apk2024-10-25 19:11 2.3K 
[   ]treecat-1.0.2_git20240706-r1.apk2024-11-29 12:12 143K 
[   ]treecat-doc-1.0.2_git20240706-r1.apk2024-11-29 12:12 3.3K 
[   ]tremc-0.9.4-r0.apk2025-07-16 08:48 53K 
[   ]tremc-bash-completion-0.9.4-r0.apk2025-07-16 08:48 1.9K 
[   ]tremc-doc-0.9.4-r0.apk2025-07-16 08:48 2.8K 
[   ]tremc-zsh-completion-0.9.4-r0.apk2025-07-16 08:48 1.8K 
[   ]triforce-0.3.2-r0.apk2025-06-03 07:03 370K 
[   ]trigger-rally-0.6.7-r3.apk2025-03-26 11:51 294K 
[   ]trigger-rally-data-0.6.7-r3.apk2025-03-26 11:51 352M 
[   ]trigger-rally-doc-0.6.7-r3.apk2025-03-26 11:51 28K 
[   ]trippy-0.13.0-r0.apk2025-05-18 23:20 1.9M 
[   ]trippy-bash-completion-0.13.0-r0.apk2025-05-18 23:20 3.2K 
[   ]trippy-zsh-completion-0.13.0-r0.apk2025-05-18 23:20 4.9K 
[   ]trivy-0.64.1-r1.apk2025-07-12 06:31 62M 
[   ]tsung-1.8.0-r3.apk2025-06-13 05:35 722K 
[   ]ttfautohint-1.8.4-r0.apk2024-10-25 19:11 29K 
[   ]ttfautohint-dev-1.8.4-r0.apk2024-10-25 19:11 159K 
[   ]ttfautohint-doc-1.8.4-r0.apk2024-10-25 19:11 8.1K 
[   ]ttfautohint-gui-1.8.4-r0.apk2024-10-25 19:11 59K 
[   ]ttfautohint-libs-1.8.4-r0.apk2024-10-25 19:11 108K 
[   ]tty-clock-2.3_git20240104-r0.apk2024-10-25 19:11 8.6K 
[   ]tty-clock-doc-2.3_git20240104-r0.apk2024-10-25 19:11 3.1K 
[   ]tty-proxy-0.0.2-r29.apk2025-07-12 06:31 2.4M 
[   ]tty-share-2.4.0-r19.apk2025-07-12 06:31 3.5M 
[   ]ttyper-1.6.0-r0.apk2025-02-05 21:45 559K 
[   ]tui-journal-0.10.0-r0.apk2024-10-25 19:11 1.8M 
[   ]tui-journal-doc-0.10.0-r0.apk2024-10-25 19:11 6.9K 
[   ]tuned-2.25.1-r2.apk2025-05-29 10:14 159K 
[   ]tuned-bash-completion-2.25.1-r2.apk2025-05-29 10:14 1.9K 
[   ]tuned-doc-2.25.1-r2.apk2025-05-29 10:14 79K 
[   ]tuned-gtk-2.25.1-r2.apk2025-05-29 10:14 22K 
[   ]tuned-openrc-2.25.1-r2.apk2025-05-29 10:14 1.7K 
[   ]tuned-ppd-2.25.1-r2.apk2025-05-29 10:14 3.7K 
[   ]tuned-ppd-openrc-2.25.1-r2.apk2025-05-29 10:14 1.8K 
[   ]tuned-profiles-2.25.1-r2.apk2025-05-29 10:14 8.2K 
[   ]tuned-profiles-compat-2.25.1-r2.apk2025-05-29 10:14 3.6K 
[   ]tuned-pyc-2.25.1-r2.apk2025-05-29 10:14 333K 
[   ]tuned-utils-2.25.1-r2.apk2025-05-29 10:14 11K 
[   ]tup-0.7.11-r1.apk2025-06-19 08:44 230K 
[   ]tup-doc-0.7.11-r1.apk2025-06-19 08:44 21K 
[   ]tup-vim-0.7.11-r1.apk2025-06-19 08:44 2.5K 
[   ]tuptime-5.2.4-r1.apk2024-11-28 22:44 14K 
[   ]tuptime-doc-5.2.4-r1.apk2024-11-28 22:44 3.7K 
[   ]tuptime-openrc-5.2.4-r1.apk2024-11-28 22:44 1.7K 
[   ]turn-rs-3.4.0-r1.apk2025-06-12 15:22 541K 
[   ]turn-rs-doc-3.4.0-r1.apk2025-06-12 15:22 11K 
[   ]turn-rs-openrc-3.4.0-r1.apk2025-06-12 15:22 2.0K 
[   ]turnstile-0.1.10-r3.apk2024-10-25 19:11 38K 
[   ]turnstile-doc-0.1.10-r3.apk2024-10-25 19:11 5.7K 
[   ]turnstile-openrc-0.1.10-r3.apk2024-10-25 19:11 1.8K 
[   ]turntable-0.3.3-r0.apk2025-05-27 13:01 176K 
[   ]turntable-lang-0.3.3-r0.apk2025-05-27 13:01 12K 
[   ]twemproxy-0.5.0-r0.apk2024-10-25 19:11 67K 
[   ]twemproxy-doc-0.5.0-r0.apk2024-10-25 19:11 17K 
[   ]twiggy-0.6.0-r3.apk2024-10-25 19:11 764K 
[   ]twinkle-1.10.3-r3.apk2025-01-04 21:55 2.4M 
[   ]twinkle-doc-1.10.3-r3.apk2025-01-04 21:55 3.5K 
[   ]ty-0.0.1_alpha15-r0.apk2025-07-25 12:11 5.2M 
[   ]ty-bash-completion-0.0.1_alpha15-r0.apk2025-07-25 12:11 2.5K 
[   ]ty-fish-completion-0.0.1_alpha15-r0.apk2025-07-25 12:11 3.1K 
[   ]ty-pyc-0.0.1_alpha15-r0.apk2025-07-25 12:11 3.9K 
[   ]ty-zsh-completion-0.0.1_alpha15-r0.apk2025-07-25 12:11 3.4K 
[   ]typlite-0.13.12-r0.apk2025-05-03 20:03 13M 
[   ]typobuster-1.0.0-r0.apk2025-05-03 20:03 129K 
[   ]typstyle-0.12.14-r0.apk2025-01-12 13:52 475K 
[   ]u-boot-s5p6818-1.2-r0.apk2024-10-25 19:11 175K 
[   ]u1db-qt-0.1.8-r0.apk2024-10-25 19:11 97K 
[   ]uasm-2.56.2-r0.apk2024-10-25 19:11 291K 
[   ]ubase-20200605-r3.apk2024-10-25 19:11 44K 
[   ]ubase-doc-20200605-r3.apk2024-10-25 19:11 21K 
[   ]ubuntu-archive-keyring-2023.11.28.1-r0.apk2024-10-25 19:11 16K 
[   ]ubuntu-archive-keyring-doc-2023.11.28.1-r0.apk2024-10-25 19:11 2.3K 
[   ]uclient-20241022-r0.apk2025-05-30 01:38 19K 
[   ]uclient-dev-20241022-r0.apk2025-05-30 01:38 3.5K 
[   ]uclient-fetch-20241022-r0.apk2025-05-30 01:38 10K 
[   ]udpt-3.1.2-r0.apk2024-10-25 19:11 673K 
[   ]udpt-openrc-3.1.2-r0.apk2024-10-25 19:11 1.8K 
[   ]ueberzug-18.3.1-r0.apk2025-02-22 16:07 65K 
[   ]ueberzug-pyc-18.3.1-r0.apk2025-02-22 16:07 64K 
[   ]undock-0.10.0-r1.apk2025-07-12 06:31 9.6M 
[   ]unicorn-2.0.1-r4.apk2024-10-25 19:11 6.5M 
[   ]unicorn-dev-2.0.1-r4.apk2024-10-25 19:11 7.8M 
[   ]unit-php81-1.34.2-r1.apk2025-05-03 20:03 32K 
[   ]unit-php85-1.34.2-r0.apk2025-07-03 00:06 32K 
[   ]up-0.4-r30.apk2025-07-12 06:31 1.1M 
[   ]upterm-0.14.3-r6.apk2025-07-12 06:31 5.7M 
[   ]upterm-bash-completion-0.14.3-r6.apk2025-07-12 06:31 5.5K 
[   ]upterm-doc-0.14.3-r6.apk2025-07-12 06:31 6.4K 
[   ]upterm-server-0.14.3-r6.apk2025-07-12 06:31 5.6M 
[   ]upterm-server-openrc-0.14.3-r6.apk2025-07-12 06:31 1.9K 
[   ]upterm-zsh-completion-0.14.3-r6.apk2025-07-12 06:31 4.0K 
[   ]uranium-5.2.2-r3.apk2024-10-25 19:11 596K 
[   ]urlwatch-2.28-r2.apk2024-10-25 19:11 49K 
[   ]urlwatch-doc-2.28-r2.apk2024-10-25 19:11 33K 
[   ]urlwatch-pyc-2.28-r2.apk2024-10-25 19:11 101K 
[   ]usbmuxd-1.1.1-r9.apk2025-04-18 14:39 34K 
[   ]usbmuxd-doc-1.1.1-r9.apk2025-04-18 14:39 3.0K 
[   ]usbmuxd-udev-1.1.1-r9.apk2025-04-18 14:39 2.0K 
[   ]usbtop-1.0-r0.apk2025-04-13 20:28 13K 
[   ]usql-0.15.6-r14.apk2025-07-12 06:31 28M 
[   ]ustr-1.0.4-r1.apk2024-10-25 19:11 58K 
[   ]ustr-debug-1.0.4-r1.apk2024-10-25 19:11 73K 
[   ]ustr-dev-1.0.4-r1.apk2024-10-25 19:11 91K 
[   ]ustr-doc-1.0.4-r1.apk2024-10-25 19:11 97K 
[   ]ustr-static-1.0.4-r1.apk2024-10-25 19:11 166K 
[   ]utop-2.9.1-r4.apk2024-10-25 19:11 13M 
[   ]utop-common-2.9.1-r4.apk2024-10-25 19:11 2.0K 
[   ]utop-doc-2.9.1-r4.apk2024-10-25 19:11 5.7K 
[   ]utop-emacs-2.9.1-r4.apk2024-10-25 19:11 13K 
[   ]utop-full-2.9.1-r4.apk2024-10-25 19:11 13M 
[   ]uucp-1.07-r6.apk2024-10-25 19:11 487K 
[   ]uucp-doc-1.07-r6.apk2024-10-25 19:11 118K 
[   ]uxn-1.0-r0.apk2024-10-25 19:11 42K 
[   ]uxn-doc-1.0-r0.apk2024-10-25 19:11 4.2K 
[   ]vals-0.41.2-r1.apk2025-07-12 06:31 28M 
[   ]varnish-modules-0.26.0-r0.apk2025-07-06 18:24 54K 
[   ]varnish-modules-doc-0.26.0-r0.apk2025-07-06 18:24 22K 
[   ]vbindiff-3.0_beta5-r2.apk2025-05-25 07:49 21K 
[   ]vbindiff-doc-3.0_beta5-r2.apk2025-05-25 07:49 5.5K 
[   ]vcdimager-2.0.1-r5.apk2025-01-26 06:27 471K 
[   ]vcdimager-dev-2.0.1-r5.apk2025-01-26 06:27 125K 
[   ]vcdimager-doc-2.0.1-r5.apk2025-01-26 06:27 74K 
[   ]vcmi-1.6.8-r0.apk2025-05-03 20:03 13M 
[   ]vcsh-2.0.5-r0.apk2024-10-25 19:11 8.8K 
[   ]vcsh-bash-completion-2.0.5-r0.apk2024-10-25 19:11 2.9K 
[   ]vcsh-doc-2.0.5-r0.apk2024-10-25 19:11 27K 
[   ]vcsh-zsh-completion-2.0.5-r0.apk2024-10-25 19:11 2.9K 
[   ]vcstool-0.3.0-r5.apk2024-10-25 19:11 35K 
[   ]vcstool-bash-completion-0.3.0-r5.apk2024-10-25 19:11 1.8K 
[   ]vcstool-pyc-0.3.0-r5.apk2024-10-25 19:11 58K 
[   ]vcstool-tcsh-completion-0.3.0-r5.apk2024-10-25 19:11 1.6K 
[   ]vcstool-zsh-completion-0.3.0-r5.apk2024-10-25 19:11 1.7K 
[   ]vector-0.48.0-r0.apk2025-07-23 04:04 22M 
[   ]vector-doc-0.48.0-r0.apk2025-07-23 04:04 5.4K 
[   ]vector-openrc-0.48.0-r0.apk2025-07-23 04:04 2.2K 
[   ]vectoroids-1.1.0-r2.apk2024-10-25 19:11 281K 
[   ]vectoroids-doc-1.1.0-r2.apk2024-10-25 19:11 2.3K 
[   ]vera++-1.3.0-r10.apk2024-10-25 19:11 254K 
[   ]vfd-configurations-0_git20230612-r0.apk2024-10-25 19:11 25K 
[   ]vice-3.9-r0.apk2025-02-24 21:33 13M 
[   ]vice-doc-3.9-r0.apk2025-02-24 21:33 2.2M 
[   ]vidcutter-6.0.5.3-r0.apk2024-10-25 19:11 2.8M 
[   ]vidcutter-doc-6.0.5.3-r0.apk2024-10-25 19:11 24K 
[   ]vidcutter-pyc-6.0.5.3-r0.apk2024-10-25 19:11 1.9M 
[   ]video-trimmer-25.03-r0.apk2025-06-30 13:44 358K 
[   ]video-trimmer-lang-25.03-r0.apk2025-06-30 13:44 93K 
[   ]viewnior-1.8-r1.apk2024-10-25 19:11 74K 
[   ]viewnior-doc-1.8-r1.apk2024-10-25 19:11 2.1K 
[   ]viewnior-lang-1.8-r1.apk2024-10-25 19:11 85K 
[   ]vile-9.8z_p1-r1.apk2025-06-30 07:25 749K 
[   ]vile-common-9.8z_p1-r1.apk2025-06-30 07:25 362K 
[   ]vile-doc-9.8z_p1-r1.apk2025-06-30 07:25 357K 
[   ]vim-airline-0.11-r0.apk2024-10-25 19:11 86K 
[   ]vim-airline-doc-0.11-r0.apk2024-10-25 19:11 12K 
[   ]vim-nerdtree-7.1.3-r0.apk2025-03-04 21:00 67K 
[   ]vim-rust-305-r0.apk2024-10-25 19:11 21K 
[   ]virtctl-1.5.1-r1.apk2025-07-12 06:31 14M 
[   ]virtctl-bash-completion-1.5.1-r1.apk2025-07-12 06:31 5.1K 
[   ]virtctl-fish-completion-1.5.1-r1.apk2025-07-12 06:31 4.3K 
[   ]virtctl-zsh-completion-1.5.1-r1.apk2025-07-12 06:31 4.0K 
[   ]virter-0.29.0-r1.apk2025-07-12 06:31 5.5M 
[   ]virter-bash-completion-0.29.0-r1.apk2025-07-12 06:31 6.1K 
[   ]virter-doc-0.29.0-r1.apk2025-07-12 06:31 15K 
[   ]virter-fish-completion-0.29.0-r1.apk2025-07-12 06:31 4.3K 
[   ]virter-zsh-completion-0.29.0-r1.apk2025-07-12 06:31 4.1K 
[   ]virtualgl-3.1.3-r0.apk2025-05-03 20:03 1.8M 
[   ]virtualgl-dev-3.1.3-r0.apk2025-05-03 20:03 6.1K 
[   ]virtualgl-doc-3.1.3-r0.apk2025-05-03 20:03 314K 
[   ]visidata-3.2-r0.apk2025-06-20 11:31 421K 
[   ]visidata-doc-3.2-r0.apk2025-06-20 11:31 18K 
[   ]visidata-pyc-3.2-r0.apk2025-06-20 11:31 841K 
[   ]visidata-zsh-completion-3.2-r0.apk2025-06-20 11:31 9.3K 
[   ]vit-2.3.2-r1.apk2024-10-25 19:11 80K 
[   ]vit-pyc-2.3.2-r1.apk2024-10-25 19:11 151K 
[   ]vixl-8.0.0-r0.apk2025-07-15 17:26 808K 
[   ]vixl-dev-8.0.0-r0.apk2025-07-15 17:26 347K 
[   ]vkbasalt-0.3.2.10-r0.apk2024-10-25 19:11 397K 
[   ]vkbasalt-doc-0.3.2.10-r0.apk2024-10-25 19:11 3.1K 
[   ]vlang-0.4.11-r0.apk2025-06-21 13:58 30M 
[   ]vmtouch-1.3.1-r0.apk2024-10-25 19:11 12K 
[   ]vmtouch-doc-1.3.1-r0.apk2024-10-25 19:11 8.0K 
[   ]voikko-fi-2.5-r0.apk2024-10-25 19:11 1.6M 
[   ]volatility3-2.11.0-r0.apk2025-02-13 07:42 563K 
[   ]volatility3-doc-2.11.0-r0.apk2025-02-13 07:42 3.1K 
[   ]volatility3-pyc-2.11.0-r0.apk2025-02-13 07:42 1.0M 
[   ]volumeicon-0.5.1-r1.apk2024-10-25 19:11 42K 
[   ]volumeicon-lang-0.5.1-r1.apk2024-10-25 19:11 3.8K 
[   ]vscodium-1.102.04606-r0.apk2025-07-13 20:34 26M 
[   ]vscodium-bash-completion-1.102.04606-r0.apk2025-07-13 20:34 2.2K 
[   ]vscodium-zsh-completion-1.102.04606-r0.apk2025-07-13 20:34 2.7K 
[   ]vym-2.9.26-r0.apk2024-10-25 19:11 2.8M 
[   ]vym-doc-2.9.26-r0.apk2024-10-25 19:11 3.4M 
[   ]w_scan2-1.0.17-r0.apk2025-06-11 17:40 132K 
[   ]w_scan2-doc-1.0.17-r0.apk2025-06-11 17:40 4.2K 
[   ]wabt-1.0.37-r0.apk2025-04-06 21:37 3.9M 
[   ]wabt-doc-1.0.37-r0.apk2025-04-06 21:37 13K 
[   ]waifu2x-converter-cpp-5.3.4-r9.apk2025-07-07 07:32 12M 
[   ]wakeonlan-0.42-r0.apk2024-10-25 19:11 4.5K 
[   ]wakeonlan-doc-0.42-r0.apk2024-10-25 19:11 7.5K 
[   ]walk-1.13.0-r4.apk2025-07-12 06:31 2.9M 
[   ]walk-doc-1.13.0-r4.apk2025-07-12 06:31 2.2K 
[   ]walk-sor-0_git20190920-r1.apk2024-10-25 19:11 5.7K 
[   ]walk-sor-doc-0_git20190920-r1.apk2024-10-25 19:11 7.8K 
[   ]warp-s3-1.2.0-r0.apk2025-07-12 06:31 7.6M 
[   ]warpinator-1.8.8-r3.apk2025-06-11 17:40 216K 
[   ]warpinator-lang-1.8.8-r3.apk2025-06-11 17:40 222K 
[   ]warpinator-nemo-1.8.8-r3.apk2025-06-11 17:40 4.2K 
[   ]warzone2100-4.5.5-r3.apk2025-04-10 20:50 5.9M 
[   ]warzone2100-data-4.5.5-r3.apk2025-04-10 20:50 328M 
[   ]warzone2100-doc-4.5.5-r3.apk2025-04-10 20:50 1.5M 
[   ]warzone2100-lang-4.5.5-r3.apk2025-04-10 20:50 2.6M 
[   ]wasmtime-34.0.1-r0.apk2025-07-23 04:04 6.4M 
[   ]wasmtime-dev-34.0.1-r0.apk2025-07-23 04:04 91K 
[   ]watchbind-0.2.1-r1.apk2024-10-25 19:11 1.0M 
[   ]watchbind-doc-0.2.1-r1.apk2024-10-25 19:11 6.6K 
[   ]watchdog-5.16-r2.apk2024-10-25 19:11 48K 
[   ]watchdog-doc-5.16-r2.apk2024-10-25 19:11 14K 
[   ]watchmate-0.5.3-r0.apk2025-05-16 17:20 2.1M 
[   ]watershot-0.2.0-r0.apk2024-10-25 19:11 1.6M 
[   ]way-displays-1.14.1-r0.apk2025-07-05 16:46 103K 
[   ]way-displays-doc-1.14.1-r0.apk2025-07-05 16:46 4.6K 
[   ]way-secure-0.2.0-r0.apk2025-04-18 22:10 189K 
[   ]way-secure-doc-0.2.0-r0.apk2025-04-18 22:10 3.0K 
[   ]wayfire-0.9.0-r0.apk2025-02-27 20:17 2.5M 
[   ]wayfire-dev-0.9.0-r0.apk2025-02-27 20:17 130K 
[   ]wayfire-doc-0.9.0-r0.apk2025-02-27 20:17 3.6K 
[   ]wayfire-plugins-extra-0.9.0-r0.apk2025-02-27 20:17 566K 
[   ]waylevel-1.0.0-r1.apk2024-10-25 19:11 290K 
[   ]waynergy-0.0.17-r0.apk2024-10-25 19:11 54K 
[   ]wayqt-0.3.0-r0.apk2025-05-03 20:03 102K 
[   ]wayqt-dev-0.3.0-r0.apk2025-05-03 20:03 18K 
[   ]wazero-1.9.0-r3.apk2025-07-12 06:31 1.9M 
[   ]wbg-1.2.0-r1.apk2025-05-14 18:17 37K 
[   ]wch-isp-0.4.1-r2.apk2024-10-25 19:11 11K 
[   ]wch-isp-doc-0.4.1-r2.apk2024-10-25 19:11 2.7K 
[   ]wch-isp-udev-rules-0.4.1-r2.apk2024-10-25 19:11 1.6K 
[   ]wcm-0.9.0-r0.apk2025-02-27 20:17 366K 
[   ]webhookd-1.20.2-r1.apk2025-07-12 06:31 3.1M 
[   ]webhookd-doc-1.20.2-r1.apk2025-07-12 06:31 2.3K 
[   ]webhookd-openrc-1.20.2-r1.apk2025-07-12 06:31 2.2K 
[   ]webtunnel-0.0.2-r2.apk2025-07-12 06:31 3.4M 
[   ]weggli-0.2.4-r1.apk2024-10-25 19:11 813K 
[   ]welle-cli-2.7-r0.apk2025-04-07 18:40 302K 
[   ]welle-io-2.7-r0.apk2025-04-07 18:40 401K 
[   ]welle-io-doc-2.7-r0.apk2025-04-07 18:40 4.0K 
[   ]wf-config-0.9.0-r0.apk2025-02-27 20:17 106K 
[   ]wf-config-dev-0.9.0-r0.apk2025-02-27 20:17 16K 
[   ]wf-shell-0.9.0-r0.apk2025-02-27 20:17 6.1M 
[   ]wf-shell-dev-0.9.0-r0.apk2025-02-27 20:17 1.7K 
[   ]wf-shell-doc-0.9.0-r0.apk2025-02-27 20:17 3.1K 
[   ]wgcf-2.2.26-r1.apk2025-07-12 06:31 3.7M 
[   ]wgcf-bash-completion-2.2.26-r1.apk2025-07-12 06:31 6.1K 
[   ]wgcf-fish-completion-2.2.26-r1.apk2025-07-12 06:31 4.3K 
[   ]wgcf-zsh-completion-2.2.26-r1.apk2025-07-12 06:31 4.0K 
[   ]whatsie-4.16.3-r0.apk2025-01-12 13:30 15M 
[   ]whatsie-doc-4.16.3-r0.apk2025-01-12 13:30 2.2K 
[   ]whipper-0.10.0-r5.apk2024-10-25 19:11 113K 
[   ]whipper-pyc-0.10.0-r5.apk2024-10-25 19:11 185K 
[   ]wiki-tui-0.8.2-r1.apk2024-10-25 19:11 1.4M 
[   ]wiki-tui-doc-0.8.2-r1.apk2024-10-25 19:11 4.6K 
[   ]wiremapper-0.10.0-r0.apk2024-10-25 19:11 22K 
[   ]wiringx-0_git20240317-r2.apk2025-03-03 16:40 59K 
[   ]wiringx-dev-0_git20240317-r2.apk2025-03-03 16:40 84K 
[   ]witchery-0.0.3-r2.apk2024-10-25 19:11 3.2K 
[   ]wk-adblock-0.0.4-r5.apk2024-10-25 19:11 160K 
[   ]wk-adblock-doc-0.0.4-r5.apk2024-10-25 19:11 2.1K 
[   ]wl-clipboard-x11-5-r3.apk2024-10-25 19:11 3.4K 
[   ]wl-clipboard-x11-doc-5-r3.apk2024-10-25 19:11 2.9K 
[   ]wl-gammarelay-0.1.1-r15.apk2025-07-12 06:31 1.6M 
[   ]wl-ime-type-0.1.1-r0.apk2025-04-13 20:28 5.9K 
[   ]wl-ime-type-doc-0.1.1-r0.apk2025-04-13 20:28 2.2K 
[   ]wl-kbptr-0.4.0-r0.apk2025-07-23 04:04 25K 
[   ]wl-kbptr-doc-0.4.0-r0.apk2025-07-23 04:04 4.8K 
[   ]wl-kbptr-full-0.4.0-r0.apk2025-07-23 04:04 32K 
[   ]wl-screenrec-0.1.7-r0.apk2025-04-13 20:28 517K 
[   ]wl-screenrec-bash-completion-0.1.7-r0.apk2025-04-13 20:28 2.4K 
[   ]wl-screenrec-doc-0.1.7-r0.apk2025-04-13 20:28 9.5K 
[   ]wl-screenrec-fish-completion-0.1.7-r0.apk2025-04-13 20:28 3.2K 
[   ]wl-screenrec-zsh-completion-0.1.7-r0.apk2025-04-13 20:28 3.7K 
[   ]wlavu-0_git20201101-r1.apk2024-10-25 19:11 13K 
[   ]wlclock-1.0.1-r0.apk2024-10-25 19:11 16K 
[   ]wlclock-doc-1.0.1-r0.apk2024-10-25 19:11 3.3K 
[   ]wlroots0.12-0.12.0-r1.apk2024-10-25 19:11 237K 
[   ]wlroots0.12-dbg-0.12.0-r1.apk2024-10-25 19:11 1.0M 
[   ]wlroots0.12-dev-0.12.0-r1.apk2024-10-25 19:11 61K 
[   ]wlroots0.15-0.15.1-r6.apk2024-10-25 19:11 291K 
[   ]wlroots0.15-dbg-0.15.1-r6.apk2024-10-25 19:11 1.2M 
[   ]wlroots0.15-dev-0.15.1-r6.apk2024-10-25 19:11 70K 
[   ]wlroots0.16-0.16.2-r0.apk2024-10-28 13:47 336K 
[   ]wlroots0.16-dbg-0.16.2-r0.apk2024-10-28 13:47 1.3M 
[   ]wlroots0.16-dev-0.16.2-r0.apk2024-10-28 13:47 71K 
[   ]wlroots0.17-0.17.4-r1.apk2024-11-24 07:46 370K 
[   ]wlroots0.17-dbg-0.17.4-r1.apk2024-11-24 07:46 1.4M 
[   ]wlroots0.17-dev-0.17.4-r1.apk2024-11-24 07:46 77K 
[   ]wmctrl-1.07-r1.apk2024-10-25 19:11 13K 
[   ]wmctrl-doc-1.07-r1.apk2024-10-25 19:11 5.1K 
[   ]wok-3.0.0-r6.apk2024-10-25 19:11 157K 
[   ]wok-doc-3.0.0-r6.apk2024-10-25 19:11 3.7K 
[   ]wok-lang-3.0.0-r6.apk2024-10-25 19:11 16K 
[   ]wok-pyc-3.0.0-r6.apk2024-10-25 19:11 119K 
[   ]wol-0.7.1-r3.apk2024-10-25 19:11 26K 
[   ]wol-doc-0.7.1-r3.apk2024-10-25 19:11 5.5K 
[   ]wol-lang-0.7.1-r3.apk2024-10-25 19:11 8.2K 
[   ]wolfssh-1.4.17-r0.apk2024-10-25 19:11 135K 
[   ]wolfssh-dev-1.4.17-r0.apk2024-10-25 19:11 171K 
[   ]wpa_actiond-1.4-r7.apk2024-10-25 19:11 10K 
[   ]wpa_actiond-openrc-1.4-r7.apk2024-10-25 19:11 2.2K 
[   ]wput-0.6.2-r4.apk2024-10-25 19:11 38K 
[   ]wput-doc-0.6.2-r4.apk2024-10-25 19:11 8.2K 
[   ]wroomd-0.1.0-r0.apk2024-10-25 19:11 1.0M 
[   ]wroomd-openrc-0.1.0-r0.apk2024-10-25 19:11 1.7K 
[   ]wshowkeys-1.0-r0.apk2024-10-25 19:11 14K 
[   ]wsmancli-2.8.0-r0.apk2025-07-14 13:34 20K 
[   ]wsmancli-doc-2.8.0-r0.apk2025-07-14 13:34 3.7K 
[   ]wtfutil-0.43.0-r14.apk2025-07-12 06:31 18M 
[   ]x11docker-7.6.0-r1.apk2024-10-25 19:11 113K 
[   ]x11docker-doc-7.6.0-r1.apk2024-10-25 19:11 9.4K 
[   ]xa-2.4.1-r0.apk2025-02-25 12:36 79K 
[   ]xa-doc-2.4.1-r0.apk2025-02-25 12:36 17K 
[   ]xcape-1.2-r1.apk2025-05-14 18:17 7.0K 
[   ]xcape-doc-1.2-r1.apk2025-05-14 18:17 3.1K 
[   ]xcompmgr-1.1.10-r0.apk2025-06-28 11:24 15K 
[   ]xcompmgr-doc-1.1.10-r0.apk2025-06-28 11:24 2.6K 
[   ]xdg-desktop-portal-cosmic-1.0.0_alpha7-r0.apk2025-05-03 20:03 7.9M 
[   ]xdg-desktop-portal-hyprland-1.3.9-r0.apk2025-07-23 04:04 287K 
[   ]xdg-desktop-portal-hyprland-doc-1.3.9-r0.apk2025-07-23 04:04 2.4K 
[   ]xdg-ninja-0.2.0.2-r0.apk2024-10-25 19:11 70K 
[   ]xed-3.8.2-r0.apk2025-02-05 22:14 1.1M 
[   ]xed-dev-3.8.2-r0.apk2025-02-05 22:14 14K 
[   ]xed-doc-3.8.2-r0.apk2025-02-05 22:14 971K 
[   ]xed-lang-3.8.2-r0.apk2025-02-05 22:14 2.1M 
[   ]xed-python-3.8.2-r0.apk2025-02-05 22:14 24K 
[   ]xemu-0.8.74-r0.apk2025-06-19 00:45 4.2M 
[   ]xendmail-0.4.3-r0.apk2024-10-25 19:11 965K 
[   ]xendmail-doc-0.4.3-r0.apk2024-10-25 19:11 2.5K 
[   ]xfce4-hamster-plugin-1.17-r0.apk2024-10-25 19:11 35K 
[   ]xfce4-hamster-plugin-lang-1.17-r0.apk2024-10-25 19:11 5.1K 
[   ]xfce4-mixer-4.18.1-r2.apk2024-10-25 19:11 88K 
[   ]xfce4-mixer-doc-4.18.1-r2.apk2024-10-25 19:11 2.5K 
[   ]xfce4-mixer-lang-4.18.1-r2.apk2024-10-25 19:11 59K 
[   ]xfce4-panel-profiles-1.1.1-r0.apk2025-07-06 09:16 58K 
[   ]xfce4-panel-profiles-doc-1.1.1-r0.apk2025-07-06 09:16 20K 
[   ]xfce4-panel-profiles-lang-1.1.1-r0.apk2025-07-06 09:16 53K 
[   ]xfd-1.1.4-r0.apk2024-10-25 19:11 14K 
[   ]xfd-doc-1.1.4-r0.apk2024-10-25 19:11 4.9K 
[   ]xgalaga-2.1.1.0-r1.apk2024-10-25 19:11 313K 
[   ]xgalaga-doc-2.1.1.0-r1.apk2024-10-25 19:11 2.5K 
[   ]xiccd-0.3.0_git20211219-r1.apk2024-10-25 19:11 16K 
[   ]xiccd-doc-0.3.0_git20211219-r1.apk2024-10-25 19:11 3.3K 
[   ]xisxwayland-2-r1.apk2024-10-25 19:11 4.2K 
[   ]xisxwayland-doc-2-r1.apk2024-10-25 19:11 2.0K 
[   ]xkb-switch-1.8.5-r1.apk2025-05-14 18:17 20K 
[   ]xkb-switch-doc-1.8.5-r1.apk2025-05-14 18:17 2.1K 
[   ]xlhtml-0.5.1-r0.apk2024-10-25 19:11 12K 
[   ]xlhtml-doc-0.5.1-r0.apk2024-10-25 19:11 2.5K 
[   ]xload-1.1.4-r0.apk2024-10-25 19:11 6.7K 
[   ]xload-doc-1.1.4-r0.apk2024-10-25 19:11 3.2K 
[   ]xmag-1.0.8-r0.apk2024-10-25 19:11 17K 
[   ]xmag-doc-1.0.8-r0.apk2024-10-25 19:11 4.7K 
[   ]xml2rfc-3.28.1-r0.apk2025-04-18 11:01 352K 
[   ]xml2rfc-pyc-3.28.1-r0.apk2025-04-18 11:01 407K 
[   ]xmp-4.2.0-r0.apk2024-10-25 19:11 23K 
[   ]xmp-doc-4.2.0-r0.apk2024-10-25 19:11 5.3K 
[   ]xmpp-dns-0.2.4-r25.apk2025-07-12 06:31 1.8M 
[   ]xmppipe-0.16.0-r1.apk2024-10-25 19:11 16K 
[   ]xone-src-0.3.1-r0.apk2025-07-12 06:31 52K 
[   ]xonsh-0.19.1-r0.apk2025-02-05 22:14 585K 
[   ]xonsh-pyc-0.19.1-r0.apk2025-02-05 22:14 1.0M 
[   ]xosview-1.24-r0.apk2024-10-25 19:11 114K 
[   ]xosview-doc-1.24-r0.apk2024-10-25 19:11 13K 
[   ]xsane-0.999-r2.apk2024-10-25 19:11 1.5M 
[   ]xsane-doc-0.999-r2.apk2024-10-25 19:11 4.3K 
[   ]xsane-lang-0.999-r2.apk2024-10-25 19:11 440K 
[   ]xsecurelock-1.9.0-r1.apk2024-10-25 19:11 75K 
[   ]xsecurelock-doc-1.9.0-r1.apk2024-10-25 19:11 18K 
[   ]xsoldier-1.8-r2.apk2024-10-25 19:11 68K 
[   ]xsoldier-doc-1.8-r2.apk2024-10-25 19:11 2.6K 
[   ]xtensor-0.25.0-r0.apk2025-01-15 02:50 267K 
[   ]xtl-0.7.7-r0.apk2024-10-25 19:11 111K 
[   ]xva-img-1.5-r0.apk2024-10-25 19:11 17K 
[   ]xvidtune-1.0.4-r0.apk2024-10-25 19:11 17K 
[   ]xvidtune-doc-1.0.4-r0.apk2024-10-25 19:11 4.2K 
[   ]xvile-9.8z_p1-r1.apk2025-06-30 07:25 777K 
[   ]xvkbd-4.1-r2.apk2024-10-25 19:11 294K 
[   ]xvkbd-doc-4.1-r2.apk2024-10-25 19:11 11K 
[   ]xwayland-satellite-0.6-r0.apk2025-06-18 23:58 806K 
[   ]yaegi-0.16.1-r9.apk2025-07-12 06:31 6.9M 
[   ]yamkix-0.10.0-r1.apk2024-10-25 19:11 14K 
[   ]yamkix-pyc-0.10.0-r1.apk2024-10-25 19:11 12K 
[   ]yaml-language-server-1.19.0-r1.apk2025-07-15 05:51 743K 
[   ]yaml-language-server-doc-1.19.0-r1.apk2025-07-15 05:51 2.3K 
[   ]yarn-berry-4.9.1-r0.apk2025-04-17 18:34 1.0M 
[   ]yaru-common-25.10.1-r0.apk2025-07-13 20:00 3.8M 
[   ]yaru-icon-theme-25.10.1-r0.apk2025-07-13 20:00 35M 
[   ]yaru-icon-theme-bark-25.10.1-r0.apk2025-07-13 20:00 1.1M 
[   ]yaru-icon-theme-blue-25.10.1-r0.apk2025-07-13 20:00 1.2M 
[   ]yaru-icon-theme-magenta-25.10.1-r0.apk2025-07-13 20:00 1.1M 
[   ]yaru-icon-theme-mate-25.10.1-r0.apk2025-07-13 20:00 1.3M 
[   ]yaru-icon-theme-olive-25.10.1-r0.apk2025-07-13 20:00 1.1M 
[   ]yaru-icon-theme-prussiangreen-25.10.1-r0.apk2025-07-13 20:00 1.2M 
[   ]yaru-icon-theme-purple-25.10.1-r0.apk2025-07-13 20:00 1.2M 
[   ]yaru-icon-theme-red-25.10.1-r0.apk2025-07-13 20:00 1.2M 
[   ]yaru-icon-theme-sage-25.10.1-r0.apk2025-07-13 20:00 1.2M 
[   ]yaru-icon-theme-viridian-25.10.1-r0.apk2025-07-13 20:00 1.2M 
[   ]yaru-schemas-25.10.1-r0.apk2025-07-13 20:00 1.8K 
[   ]yaru-shell-25.10.1-r0.apk2025-07-13 20:00 227K 
[   ]yaru-sounds-25.10.1-r0.apk2025-07-13 20:00 1.2M 
[   ]yaru-theme-25.10.1-r0.apk2025-07-13 20:00 840K 
[   ]yaru-theme-bark-25.10.1-r0.apk2025-07-13 20:00 763K 
[   ]yaru-theme-blue-25.10.1-r0.apk2025-07-13 20:00 766K 
[   ]yaru-theme-hdpi-25.10.1-r0.apk2025-07-13 20:00 73K 
[   ]yaru-theme-magenta-25.10.1-r0.apk2025-07-13 20:00 762K 
[   ]yaru-theme-mate-25.10.1-r0.apk2025-07-13 20:00 772K 
[   ]yaru-theme-olive-25.10.1-r0.apk2025-07-13 20:00 759K 
[   ]yaru-theme-prussiangreen-25.10.1-r0.apk2025-07-13 20:00 760K 
[   ]yaru-theme-purple-25.10.1-r0.apk2025-07-13 20:00 757K 
[   ]yaru-theme-red-25.10.1-r0.apk2025-07-13 20:00 760K 
[   ]yaru-theme-sage-25.10.1-r0.apk2025-07-13 20:00 763K 
[   ]yaru-theme-viridian-25.10.1-r0.apk2025-07-13 20:00 760K 
[   ]yass-2.5.0-r0.apk2024-10-25 19:11 14M 
[   ]ydcv-0.7-r8.apk2024-10-25 19:11 20K 
[   ]ydcv-pyc-0.7-r8.apk2024-10-25 19:11 11K 
[   ]ydcv-zsh-completion-0.7-r8.apk2024-10-25 19:11 2.2K 
[   ]yices2-2.6.5-r0.apk2025-03-02 23:04 2.2M 
[   ]yices2-dev-2.6.5-r0.apk2025-03-02 23:04 41K 
[   ]yices2-libs-2.6.5-r0.apk2025-03-02 23:04 817K 
[   ]yoe-kiosk-browser-0_git20231118-r0.apk2024-10-25 19:11 9.5K 
[   ]yoshimi-2.3.3.3-r0.apk2025-03-26 11:51 5.8M 
[   ]yoshimi-doc-2.3.3.3-r0.apk2025-03-26 11:51 4.5M 
[   ]yosys-0.42-r1.apk2025-06-12 15:22 19M 
[   ]yosys-dev-0.42-r1.apk2025-06-12 15:22 117K 
[   ]youki-0.4.1-r0.apk2024-10-25 19:11 1.4M 
[   ]youki-dbg-0.4.1-r0.apk2024-10-25 19:11 4.6K 
[   ]youtube-tui-0.8.3-r0.apk2025-05-13 22:00 1.4M 
[   ]youtube-viewer-3.11.6-r0.apk2025-06-09 05:05 84K 
[   ]youtube-viewer-doc-3.11.6-r0.apk2025-06-09 05:05 41K 
[   ]youtube-viewer-gtk-3.11.6-r0.apk2025-06-09 05:05 172K 
[   ]ytmdl-2024.08.15.1-r1.apk2025-05-14 18:17 50K 
[   ]ytmdl-bash-completion-2024.08.15.1-r1.apk2025-05-14 18:17 2.3K 
[   ]ytmdl-pyc-2024.08.15.1-r1.apk2025-05-14 18:17 78K 
[   ]ytmdl-zsh-completion-2024.08.15.1-r1.apk2025-05-14 18:17 2.1K 
[   ]ytt-0.52.0-r2.apk2025-07-12 06:31 4.3M 
[   ]yubikey-agent-0.1.6-r13.apk2025-07-12 06:31 1.8M 
[   ]z-1.12-r0.apk2024-10-25 19:11 4.6K 
[   ]z-doc-1.12-r0.apk2024-10-25 19:11 3.9K 
[   ]zafiro-icon-theme-1.3-r0.apk2024-10-25 19:11 19M 
[   ]zapret-0.0.0_git20220125-r1.apk2024-10-25 19:11 80K 
[   ]zapret-doc-0.0.0_git20220125-r1.apk2024-10-25 19:11 98K 
[   ]zapret-openrc-0.0.0_git20220125-r1.apk2024-10-25 19:11 2.1K 
[   ]zapzap-6.0.1.8-r0.apk2025-04-13 23:58 109K 
[   ]zapzap-pyc-6.0.1.8-r0.apk2025-04-13 23:58 126K 
[   ]zarchive-0.1.2-r2.apk2024-10-25 19:11 16K 
[   ]zarchive-dev-0.1.2-r2.apk2024-10-25 19:11 6.8K 
[   ]zarchive-libs-0.1.2-r2.apk2024-10-25 19:11 23K 
[   ]zarf-0.32.1-r11.apk2025-07-12 06:31 52M 
[   ]zed-0.138.4-r5.apk2025-03-26 11:51 32M 
[   ]zfs-src-2.3.3-r0.apk2025-06-21 14:17 33M 
[   ]zfsbootmenu-2.3.0-r1.apk2024-10-25 19:11 128K 
[   ]zfsbootmenu-doc-2.3.0-r1.apk2024-10-25 19:11 16K 
[   ]zile-2.6.2-r1.apk2024-10-25 19:11 118K 
[   ]zile-doc-2.6.2-r1.apk2024-10-25 19:11 16K 
[   ]zita-njbridge-0.4.8-r1.apk2024-10-25 19:11 28K 
[   ]zita-njbridge-doc-0.4.8-r1.apk2024-10-25 19:11 5.2K 
[   ]zita-resampler-1.11.2-r0.apk2025-04-14 21:16 24K 
[   ]zita-resampler-dev-1.11.2-r0.apk2025-04-14 21:16 3.3K 
[   ]zita-resampler-doc-1.11.2-r0.apk2025-04-14 21:16 4.0K 
[   ]zizmor-1.10.0-r0.apk2025-06-28 18:04 3.2M 
[   ]zizmor-doc-1.10.0-r0.apk2025-06-28 18:04 2.3K 
[   ]zlevis-1.3-r0.apk2025-03-26 11:51 5.2K 
[   ]zot-2.1.2-r5.apk2025-07-12 06:31 69M 
[   ]zot-cli-2.1.2-r5.apk2025-07-12 06:31 9.4M 
[   ]zot-cli-bash-completion-2.1.2-r5.apk2025-07-12 06:31 5.1K 
[   ]zot-cli-fish-completion-2.1.2-r5.apk2025-07-12 06:31 4.3K 
[   ]zot-cli-zsh-completion-2.1.2-r5.apk2025-07-12 06:31 4.0K 
[   ]zot-doc-2.1.2-r5.apk2025-07-12 06:31 7.7K 
[   ]zot-exporter-2.1.2-r5.apk2025-07-12 06:31 4.7M 
[   ]zot-openrc-2.1.2-r5.apk2025-07-12 06:31 2.1K 
[   ]zrepl-0.6.1-r12.apk2025-07-12 06:31 6.4M 
[   ]zrepl-bash-completion-0.6.1-r12.apk2025-07-12 06:31 4.5K 
[   ]zrepl-openrc-0.6.1-r12.apk2025-07-12 06:31 1.7K 
[   ]zrepl-zsh-completion-0.6.1-r12.apk2025-07-12 06:31 1.9K 
[   ]zsh-fzf-tab-0_git20220331-r1.apk2024-10-25 19:11 16K 
[   ]zsh-histdb-skim-0.8.6-r0.apk2024-10-25 19:11 741K 
[   ]zsh-manydots-magic-0_git20230607-r1.apk2024-10-25 19:11 2.9K 
[   ]zulip-desktop-5.12.0-r2.apk2025-05-10 20:47 2.2M 
[   ]zutty-0.16-r0.apk2025-01-12 21:00 143K 
[   ]zutty-doc-0.16-r0.apk2025-01-12 21:00 67K 
[   ]zvbi-0.2.44-r0.apk2025-03-11 22:54 173K 
[   ]zvbi-doc-0.2.44-r0.apk2025-03-11 22:54 21K 
[   ]zycore-1.5.0-r1.apk2025-07-12 06:31 21K 
[   ]zycore-dev-1.5.0-r1.apk2025-07-12 06:31 37K 
[   ]zycore-doc-1.5.0-r1.apk2025-07-12 06:31 433K 
[   ]zydis-4.1.0-r0.apk2024-10-25 19:11 213K 
[   ]zydis-dev-4.1.0-r0.apk2024-10-25 19:11 61K 
[   ]zydis-doc-4.1.0-r0.apk2024-10-25 19:11 1.6M